Está en la página 1de 15

Introduccin

La Lgica Secuencial es el Mtodo de ordenamiento de acciones, razonamiento, y


expresin de la automatizacin de maquinaria, equipos y procesos. Y su interrelacin con el
hombre. Esto nos da por consiguiente los binomios, hombre-mquina, hombre-proceso.
Cada sistema digital debe tener circuitos combinacionales, la mayora de los sistemas
incluyen tambin elementos de memoria, los cuales requieren que el sistema se describa en
trminos de la lgica secuencial.
Los elementos de memoria son capaces de almacenar informacin binaria dentro de
ellos. La informacin binaria almacenada en los elementos de memoria en un tiempo dado
define el estado del circuito secuencial. El circuito secuencial recibe la informacin binaria
de las entradas externas.
Hay dos tipos de circuitos secuenciales. Su clasificacin depende del tiempo de sus
seales. Un circuito secuencial sincrnico es un sistema cuyo comportamiento puede
definirse a partir del conocimiento de sus seales en instantes discretos de tiempo. El
comportamiento de un circuito asincrnico depende del orden en que cambien las seales
de entrada y puedan ser afectadas en un instante dado de tiempo.
En los sistemas asincrnicos tipo compuerta, los elementos de memoria consisten en
compuertas lgicas cuyos retardos de propagacin constituyen la memoria requerida. As,
un circuito secuencial asincrnico puede tomarse como un circuito combinacional con
retroalimentacin.
Un sistema lgico secuencial sincrnico, por definicin, puede usar seales que afecten
los elementos de memoria solamente en instantes de tiempo discreto. Una forma de lograr
este propsito es usar pulsos de duracin limitada a travs del sistema de tal manera que la
amplitud de un pulso represente lgica 1 y otra amplitud de pulso (o la ausencia de un
pulso) represente lgica 0.
Los circuitos secuenciales sincrnicos que usan pulsos de reloj en las entradas de los
elementos de memoria se llaman circuitos secuenciales temporizados.

LGICA SECUENCIAL
En la lgica secuencial a diferencia de la lgica combinatoria se hace uso de un
elemento bsico llamado flip-flop. El flip-flop es un elemento de memoria que almacena un
bit de informacin. Algunos textos usan este nombre para referirse a los cerrojos, pero en la
mayora de las publicaciones se hace la diferencia entre flip-flop y latch. Este ltimo
trmino es el que traducimos como cerrojo.
Los circuitos lgicos secuenciales se dividen bsicamente en dos grupos: Los circuitos
asincrnicos y los circuitos sincrnicos. Los primeros pueden cambiar los estados de sus
salidas como resultado del cambio de los estados de las entradas, mientras que los circuitos
sincrnicos pueden cambiar el estado de sus salidas en instantes de tiempo discretos bajo el
control de una seal de reloj. En la animacin 5.1.1. se observa la diferencia entre estos dos
tipos de sistemas secuenciales.

FLIP-FLOPS
A diferencia de los cerrojos los flip-flops son dispositivos sincrnicos y el estado de
sus salidas es controlado en instantes de tiempo discretos por una seal de reloj. Al igual
que los cerrojos los hay de varios tipos y sus aplicaciones son diversas. Los flip-flops son
dispositivos que responden una seal de reloj durante los cambios de 1 a 0 lgico o de 0 a 1
lgico, segn el tipo de flip-flop.
Para lograr que los flip-flops respondan al cambio de la seal del reloj es necesario
implementar un circuito que detecte el flanco de subida o bajada de la sea de reloj. En la
interactividad 5.5.1 se observa uno de estos circuitos, el cul se conoce como flip-flop
Dmaestro/esclavo. Un flip-flop de este tipo contiene dos cerrojos, uno de ellos acta como
maestro y el otro como esclavo. Durante la transicin de la seal de reloj de 1 a 0 lgico las
entradas se emplean para determinar la salida del maestro. Cuando la seal de reloj pasa de
0 a 1 lgico el estado del maestro se trasmite al esclavo. De esta forma se garantiza que las
salidas Q y Q' del flip-flop cambien cada vez que ocurre una transicin de 0 a 1 en la seal
de reloj. Haga click sobre la entrada D del cerrojo maestro y observe como se transmite la
informacin al cerrojo esclavo y de este a la salida.

Flip-Flop S-R (Set-Reset)


Este dispositivo es similar al cerrojo S-R de la leccin anterior, la diferencia radica en la
inclusin de una seal de reloj, que acta como seal de confirmacin del paso de los datos
hacia el circuito principal, el cul se encarga de memorizar los datos. Su representacin en
los sistemas digitales es la que se muestra en la figura 5.5.2. Este tipo de flip-flop no es muy
comercial as que no se darn mas detalles sobre este dispositivo en este curso.

Figura 5.5.2. Flip-flop S-R

Flip-Flop D (Data)
El flip-flop D es muy similar al cerrojoD, y su diferencia radica en que la seal
habilitadora (enable) es reemplazada por el mecanismo del flip-flopmaestro/esclavo, el cual
actualiza los datos cada vez que la seal de reloj tiene una transicin de 0 a 1 o 1 a 0
dependiendo del tipo de flip-flop. La estructura del flip-flopD y su representacin
simplificada se muestran en la figura 5.5.3.

Figura 5.5.3. Flip-flop D


La tabla 5.5.1 es la tabla de verdad de este flip-flop, la cual indica que el dado se
tranfiere cuando ocurre un pulso de reloj.
D CLK Qi+1
0
0
1
1
Tabla 5.5.1. Estados del flip-flop D
La forma de operacin de este flip-flop es muy sencilla:

Cuando D=0 y se presenta un cambio de 0 a 1 lgico en la entrada de reloj


del flip-flop la salida Q=0.

Cuando D=1 y se presenta un cambio de 0 a 1 lgico en la entrada de reloj


del flip-flop la salida Q=1.
En otras palabras, el dato en D se transfiere y memoriza en Q cada vez que se presenta
una transicin de 0 a 1 lgico en la seal de reloj (CLK); esta condicin se conoce con el
nombre de transicin por flanco positivo.
La condicin complementaria a la anterior es cuando la transicin es de 1 a 0 lgico, en
este caso se dice que la transicin se da por flanco negativo.
Este flip-flop se puede utilizar para que la transicin se de por flanco negativo,
simplemente basta con poner a la entrada del reloj (CLK) un inversor como en la figura
5.5.4.

Figura 5.5.4. Flip-flop D con inversor en la entrada de reloj


Flip-Flop D Preset-Clear
Este flip-flop es similar al flip-flop D, excepto que este tiene dos entradas asincrnicas
activadas en bajo llamadas Preset y Clear. Estas entradas como su nombre lo indican sirven
respectivamante para poner en 1 y 0 la salida Q del flip-flop independientemente de la seal
de reloj. La configuracin de este flip-flop y su representacin abreviada se describen en la
figura 5.5.5.

Figura 5.5.5. Flip-flop D Preset-Clear


La gran parte de los Circuitos Integrados que contienen flip-flops vienen con entradas
asncrnicas de inicializacin y borrado (Preset y Clear), comunmente representados con
las abreviaturas PRE y CLR.
Flip-Flop J-K
Este flip-flop es una versin modificada del flip-flopD, y su aplicacin es muy
difundida en el Anlisis y Diseo de Circuitos Secuenciales. El funcionamiento de este
dispositivo es similar al flip-flop S-R, excepto que en este no se presentan
indeterminaciones cuando sus dos entradas se encuentran en 1 lgico, si no que el flip-flop
entra en un modo de funcionamiento llamado modocomplemento, en el cual, la salida Q
cambia a su estado complementario despus de cada pulso de reloj. La configuracin de
este flip-flop y su representacin abreviada se muestran en la figura 5.5.6. y en la tabla
5.5.2 se indican los estados de entrada y salida de este flip-flop.

Figura 5.5.6. Representacin del flip-flop J-K


Note que las entradas J y K controlan el estado de este flip-flop de la misma manera
que en el flip-flopD. Cuando las entradas son J=1 y K=1 no generan un estado
indeterminado a la salida, sino que hace que la salida del flip-flop cambie a su estado
complementario.
J K CLK Qi+1
0 0
Qi
1 0
1
0 1
0
1 1
Qi'

Tabla 5.5.2. Estados del flip-flop J-K


Flip-Flop T (Toggle)

Este flip-flop recibe su nombre por la funcin que realiza (Toggle) cambiando el estado
de la salida por su complemento. Es una modificacin del flip-flopJ-K limitndolo a
cumplir exclusivamente esta funcin, la cual se logra uniendo las terminales J y K como se
muestra en la figura 5.5.7.

Figura 5.5.7. Flip-flop T


La tabla de verdad de este flip-flop se limita a las lneas 1 y 4 del flip-flopJ-K.
Q
T CLK i
+1

Qi

Qi
'

Tabla 5.5.3. Estados del flip-flop T


CIRCUITO SECUENCIAL
El comportamiento de un circuito secuencial se determina mediante las entradas, las
salidas y los estados de sus flip-flops. Tanto las salidas como el estado siguiente son
funcin de las entradas y del estado presente. El anlisis de los circuitos secuenciales
consiste en obtener una tabla o un diagrama de las secuencias de tiempo de las entradas,
salidas y estados internos. Tambin es posible escribir expresiones booleanas que describen
el comportamiento de los circuitos secuenciales. Sin embargo, esas expresiones deben
incluir la secuencia de tiempo necesaria ya sea en forma directa o indirecta.
Un diagrama lgico se reconoce como el circuito de un circuito secuencial e incluye
los flip-flops. Los flip-flops puede ser cualquier tipo y el diagrama lgico puede o no
incluir compuertas combinacionales.
A diferencia de los circuitos lgicos combinacionales, los circuitos secuenciales
tiene memoria; pueden reflejar en su salida el efecto de una seal de entrada que hubo
segundos o das antes.
Los circuitos AND, OR y NOT funcionan sin memoria. Por ejemplo, en el caso del
circuito AND, una salida lgica 1 es obtenida nicamente durante el tiempo que todas las
entradas estn simultneamente en lgica 1. Si cada entrada pasa por lgica 1 de una
manera secuencial, no-simultnea, la salida permanecer aqu la necesidad en lgica 0. De
un circuito electrnico que se pueda colocar en uno cualquiera de los dos estados lgicos
indefinidamente, hasta que sea intencionalmente pasado al estado contrario. Tal circuito es
conocido como BIESTABLE, o simplemente FLIP-FLOP. El biestable, en efecto, provee
una memoria, ya que puede "recordar" el ltimo estado en el que haba sido colocado
TABLAS DE ESTADO
Una tabla de estado es un listado que contiene la secuencia de los estados de entradas,
estados internos y salidas del sistema, considerando todas las posibles combinaciones de
estados actuales y entradas. Las tablas de estado por lo general se dividen en tres partes:
estados actuales, estados siguientes y salidas, tal como se muestra en la tabla 7.1.1.

Estados actuales
A
B
0
0
0
0
0
1
0
1
1
0
1
0
1
1
1
1

Entrada
X
0
1
0
1
0
1
0
1

Estados siguientes
A
B
0
0
0
1
0
0
1
1
0
0
1
0
0
0
1
0

Salida
Y
0
0
0
1
0
1
0
1

Tabla 7.1.1. Tabla de estado (circuito Figura 7.1.3)


La tabla de estado para un circuito secuencial con mflip-flops y n entradas tiene 2m+n
filas. El estado siguiente tiene m columnas, y el nmero de columnas depende del nmero
de salidas.
Existe una forma ms conveniente de organizar la informacin en la tabla de estado, la
cual se muestra en la Tabla 7.1.2, donde los estados se agrupan de tal modo que la tabla se
puede traducir a un diagrama de estados. Al igual que la tabla anterior esta tiene tres
secciones: estados actuales, estados siguientes y salidas, sin embargo los estados se agrupan
dependiendo del valor de las entradas. La seccin de estados actuales agrupa los estados
que ocurren antes de una transicin en la seal de reloj, la seccin de estados siguientes
lista aquellos que ocurren despus de la transicin del reloj y la seccin de salidas rene los
estados que se dan en el mismo instante de los estados actuales.
Estado Siguiente Salida
Estado Actual
X=0
X=1
X=0 X=1
AB
AB
AB
Y
Y
00
00
01
0
0
01
00
11
1
0
10
00
10
1
0
11
00
10
1
0
Tabla 7.1.2. Tabla de estado (forma simplificada)
Haciendo un anlisis de la operacin del circuito de la figura 7.1.3. se puede observar
lo siguiente: Cuando la variable X=0 los estados actuales A y B cambian a 0 despus de la
transicin de reloj , y cuando X=1, los estados de las salidas se comportan tal como se
resume en la tabla 7.1.2. Se plantea como ejercicio verificar la informacin de la tabla.
Diagramas de Estado
Un diagrama de estados es una representacin grfica que indica la secuencia de los
estados que se presentan en un circuito secuencial, teniendo en cuenta las entradas y
salidas. El diagrama se forma con crculos y lneas. Los circulos representan los estados del
circuito secuencial y cada uno de ellos contiene un nmero que identifica su estado. Las
lneas indican las transiciones entre estados y se marcan con dos nmeros separados por un
(/), estos dos nmeros corresponden a la entrada y salida presentes antes de la transicin. A

manera de ejemplo observe la lnea que une los estados 00 y 01 en el diagrama de estado de
la figura 7.1.4. Esta lnea marcada como 1/0 indica que el circuito secuencial se encuentra
en el estado 00 mientras la entrada X=0 y la salida Y=0, y que despus de que ocurra una
transicin en la seal de reloj el estado cambia a 01.

Figura 7.1.4. Diagrama de estados correspondiente a la Tabla 7.1.2


Las lneas que salen y regresan al mismo crculo indican que no hay cambio en el
estado, cuando se presentan la entrada y salida indicadas.
Tablas de Transicin de flip-flops
Las tablas de transicin se usan en conjunto con las de estado y representan la tabla de
verdad de los flip-flops con los cuales se desea implementar el circuito secuencial. La tabla
contiene los estados actuales y siguientes segn el estado de las entradas de los flip-flops.
La tabla 7.1.3 corresponde a la tabla de transicin del flip-flop JK.
Transiciones de Salida Entradas al flip-flop
Qi
Qi+1
J
K
0
0
0
X
0
1
1
X
1
0
X
1
1
1
X
0
Tabla 7.1.3. Tabla de transicin del flip-flop JK
En la tabla, Qi corresponde al estado actual y Qi+1 al estado siguiente, J y K son las
entradas de los flip-flops. La informacin sombreada en la tabla se interpreta de la siguiente
forma: cuando el estado presente de la salida Q=0 y las entradas J=1 y K=X (X indica una
condicin de no importa, 1 o 0), despus de un pulso de reloj en el flip-flop la salida cambia
al estado siguiente Q=1.
Mapas de Karnaugh
Generalmente la tablas de estado y de transicin de losflip-flops se fusionan en una sola
para agrupar la informacin de tal forma que permitan construir los Mapas de Karnaugh
para simplificar las funciones lgicas. La tabla 7.1.4 corresponde a una tabla de estado de
un contador de tres bits con flip-flops JK. Observe que esta tabla incluye las entradas J y K
para cada una de la transiciones (estado actual a estado siguiente). Las regiones sombreadas
en la tabla indican que el estadoQi cambia estando presentes las entradas Ji y Ki
correspondientes despus de una transicin del reloj.
Estado Actual Estado Siguiente Entradas de los flip-flop
Q2 Q1 Q0 Q2 Q1 Q0 J2 K2 J1 K1 J0 K0
0
0
0
0
0
1
0 X 0 X 1 X

0
0
0
1
1
1
1

0
1
1
0
0
1
1

1
0
1
0
1
0
1

0
0
1
1
1
1
0

1
1
0
0
1
1
0

0
1
0
1
0
1
0

0
0
1
X
X
X
X

X
X
X
0
0
0
1

1
X
X
0
1
X
X

X
0
1
X
X
0
1

X
1
X
1
X
1
X

1
X
1
X
1
X
1

Tabla 7.1.4. Tabla de estado y transicin de un contador de 3 bits


Los Mapas de Karnaugh se emplean para definir la lgica de las entradas de los flipflops y se debe hacer uno para cada una de las entradas. La figura 7.1.5 corresponde al
Mapa de karnaugh de la entrada J1. de la tabla de estado 7.1.4.

Figura 7.1.5. Mapa de Karnaugh para el estado J1


Observe que cada celda en el mapa representa uno de los estados actuales de la
secuencia en la tabla de estado. Una vez asignados todos los estados posibles a cada celda
en el Mapa de Karnaugh se procede a simplicar y deducir las exprexiones lgicas. En la
figura 7.1.5 se observa que la expresin correspondiente a la entrada J1 es:
J1 = Q0
Esta expresin indica que en el circuito lgico la salida Q0 debe ir conectada a la
entrada J1. En la siguiente leccin se explicara de una forma detallada el procedimiento
para el Diseno de Circuitos Secuenciales.
TIPOS DE CIRCUITOS SECUENCIALES
Existen dos tipos de circuitos secuenciales
Sincrnicos: Son sistemas cuyo comportamiento puede definirse a partir del

conocimiento de sus seales en instantes discretos de tiempo.


Asincrnicos: Depende del orden que cambien las seales de entrada y pueda ser

afectadas en un instante dado de tiempo.

Sistemas Sincrnicos (Sncronos o con clock)


Son sistemas que actan bajo un control de tiempo, este control se denomina reloj

(clock).
Clock: es una seal que se alterna entre los valores lgicos 0 y 1 en un

periodo regular.

El Clock
El Periodo (T): es el tamao en tiempo de un ciclo.
La Frecuencia (f): es el inverso del periodo, 1/T y est dada en Hertz (Hz).

LOGICA SECUENCIAL SINCRONA


La gran mayora de los circuitos digitales contienen flip-flopsy compuertas para
realizar funciones especficas. El diseo de estos circuitos inicia a partir de las
especificaciones y finaliza con las funciones lgicas, de las cuales se obtiene el circuito
lgico.
Inicialmente se debe crear una tabla de estado o representacin equivalente, para
identificar la secuencia de estados que deseada. Luego de seleccionar el nmero y tipo de
flip-flops con los cuales se desea hacer el diseo, se deduce la lgica combinatoria
necesaria para generar la secuencia de estados.
Los circuitos secuenciales se pueden analizar y disear siguiendo un procedimiento
claramente definido que consiste en los siguientes pasos:
1. Asignacin de estados
2. Construccin del diagrama de transicin
3. Elaboracin de la tabla de estados
4. Obtencin de ecuaciones o funciones lgicas
5. Realizacin de circuitos lgicos
Para explicar este mtodo se desarrollar un ejemplo aplicado a un diseo particular.
Ejemplo
Disear el circuito secuencial del proceso que se cumple de acuerdo al diagrama de
estados de la figura 7.2.1.
Paso 1. Asignacin de estados
Este proceso tiene cuatro estados, una entrada y no tiene salidas (se pueden considerar
como salidas las de losflip-flops). Para representar los cuatro estados se usarn dosflip-flops
identificados como A y B de tipo JK. y la entrada ser identificada como X.

Figura 7.2.1. Diagrama de estados


Paso 2. Contruccin del diagrama del transicin o de estado
La figura 7.2.1 corresponde al diagrama de transicin. Analizando este diagrama se
observa que el estado 10 se mantiene mientras X=0 y en el momento que X=1 pasa al
estado 11, despus al estado 00 y finalmente al estado 01, hasta el momento que
nuevamente X=0, volviendo de esta forma al estado AB=10. Adicionalmente observe que
los estados 0010 y 11, se mantienen cuando X=0 y el estado 01 se mantiene cuando X=1.
Paso 3. Elaboracin de la tabla de estados
A partir del diagrama de estados y de la tabla de transcin del flip-flop JK se puede
contruir la tabla de estados (ver tabla 7.2.1).
Entrada Estado Actual Estado Siguiente Excitaciones
X
A
B
A
B
JA KA JB KB
0
0
0
0
0
0 X 0 X
1
0
0
0
1
0 X 1 X
0
0
1
1
0
1 X X 1
1
0
1
0
1
0 X X 0
0
1
0
1
0
X 0 0 X
1
1
0
1
1
X 0 1 X
0
1
1
1
1
X 0 X 0
1
1
1
0
0
X 1 X 1
Tabla 7.2.1. Tabla de estado
Para la simplificacin de los circuitos combinatorios es conveniente que se presenten
condiciones de"no importa", ya que estas permiten simplicar las funciones lgicas y por
tanto el tamao del circuito lgico.
Paso 4. Obtencin de ecuaciones o funciones lgicas.
En este paso se obtienen las funciones lgicas para las entradas de losflip-flops (JA, KA,
JB y KB) y el objetivo es deducir la lgica combinatoria de estado siguiente, mediante el uso
de Mapas de Karnaugh. A continuacin en la figura 7.2.2. se muestran los Mapas de
Karnaugh y las funciones lgicas correspondientes.

Figura 7.2.2. Mapas de Karnaugh para las entradas JA , JB, KA y KB


Paso 5. Realizacin de circuitos lgicos

Este es el ltimo paso del diseo, y consiste en implementar la lgica combinacional a


partir de las ecuaciones lgicas obtenidas en el paso anterior para las entradas J y K de los
flip-flops. Las conexiones correspondientes, se efectan mediante el uso de compuertas e
inversores y en la figura 7.2.3. se muestra el diseo final del circuito lgico.

Figura 7.2.3. Circuito Lgico del Diseo


Diseo de Circuitos Secuenciales conflip-flops D
El diseo del circuito de la figura 7.2.3 se hizo con flip-flops JK. En esta seccin
veremos como se realiza el diseo de circuitos secuenciales mediante el uso de flip-flops
tipo D.
A diferencia de las entradas de los flip-flops JK, las entradas en los flip-flops D
corresponden exactamente a los estados siguientes. Por esta razn en la tabla de estado no
se requiere una columna independiente para las excitaciones. En el siguiente ejemplo se
ver como realizar el diseo de circuitos secuenciales con flip-flops D.
CONTADORES Y REGISTROS
Los contadores digitales son elementos importantes de muchos sistemas digitales.
Adems de efectuar la funcin obvia de contar, tienen la capacidad de integrarse en equipos
para la medicin digital de cantidades como tiempo, velocidad, frecuencia y distancia, entre
otras. Los contadores encuentran tambin usos muy difundidos en la instrumentacin
digital.
Los registros son dispositivos que almacenan temporalmente un conjunto de datos en
forma de palabras binarias. Por lo general tienen tamaos de 8, 16, o 32bits, y se encuentran
conformados por un conjunto de flip-flops, en los cuales se almacena la informacin. Estos
dispositivos son empleados en los computadores para almacenar temporalmente datos, con
los cuales se realizan operaciones binarias.
Contadores de Propagacin
Los contadores digitales o binarios en esencia son un grupo de flip-flops dispuestos de
tal manera que sus salidas proporcionan una secuencia determinada como respuesta a los
acontecimientos que ocurren a la entrada del reloj. Estos acontecimientos pueden ser por lo
general pulsos de reloj (sincrnicos) o acontecimientos aleatorios (asincrnicos)
alimentados como entradas por la terminal de reloj de los flip-flops. Los contadores de
propagacin se basan en este ltimo principio para generar secuencias binarias que cambian
como respuesta a eventos.
Para conformar un contador de n bits solo basta tener n flip-flops, uno para cada bit de
informacin. A continuacin se dar una descripcin sobre la estructura y funcionamiento
de los contadores de propagacin ms comunes en lgica secuencial.
Contadores Sincrnicos

El inconveniente que se presenta con los contadores de propagacin de la leccin


anterior, consiste bsicamente en que no todos los flip-flops cambian simultneamente con
la seal del reloj. Los contadores asincrnicos deben esperar que la seal se propague desde
el primer flip-flop que representa el bit menos significativo hasta el flip-flop del bitmas
significativo.
En los contadores sincrnicos a diferencia de los contadores de propagacin o
asncronicos, la seal de reloj se aplica simultneamente a todos los flip-flops. Estos
contadores por lo general tienen ms circuitera que los contadores de propagacin y estn
conformados por flip-flops J-K.
Ejemplos de Contadores en Circuito Integrado
En el comercio existen varios contadores en circuito integrado que aparte de realizar la
funcin de generar secuencias binarias, tiene otras funciones adicionales que generalmente
tienen que ver con la configuracin y modo de funcionamiento. Entre las funciones que se
pueden encontrar en estos cirsuitos integrados se encuentran opciones de seleccin de
secuencia ascendente o descendente, borrado as como inicializacin entre otras.
A continuacin se presenta una lista de algunos contadores en circuito integrado de uso
difundido en Electrnica Digital, con una descripcin detallada de sus pines.
Nombre

Imagen

Terminales
PE
P0...P3
Q0...Q3
C.I'

Contador
Binario
Decadal
Up/Down

C.O'
B/D
U/D

Descripcin
(PresetEnable): Esta entrada se utiliza para
cargar los datos Pi en las salidas Qi
(Presets): Entradas de fijacin. Se utilizan en
forma conjunta con PE
(Quits): Salidas binarias
(Carry In). Entrada para detener la secuencia.
En 0 Cuenta, en 1 se detiene
(CarryOut). Salida para indicar rebasamiento.
0 sin acarreo, 1 con acarreo
(Bin/Dec): Seleccin del tipo de
funcionamiento. 1 Binario, 0 decadal
(Up/Down): Entrada de seleccin de
secuencia. 1 Ascendente, 0 descendente
Alimentacin

Vdd, Gnd
Q1 ... Q13
RES
Contador
Binario (14
bits)

Contador
Binario (12

INP

(Quits): Salidas Binarias


(Reset): Entrada de Borrado
(Input): Entrada Asincrnica para incremento
del contador

Vdd, Gnd

Alimentacin

Q0 ... Q11
CLK

(Quits): Salidas binarias


(Clock): Entrada de reloj

bits)

RES

(Reset): Entrada de Borrado

Vdd, Gnd

(Voltaje, Ground): Alimentacin

CLR'

Contador
Decadal (4
bits)

Contador
Binario (4
bits)

CLK
A, B, C, D
ENP, ENT
LD'

QA, QB, QC,


(Quits): Salidas
QD
Salida de Rebasamiento. Cuando el contador
RCO
llega al ltimo dgito se genera un acarreo (1)
(Clear): Entrada de borrado, en cero inicializa
CLR'
todas las salidas a cero
CLK
(Clock): Entrada de Reloj
A, B, C, D
Entradas de Datos Paralelo
ENP, ENT Entradas de Sostenimiento
(load): Entrada de habilitacin de carga de
LD'
datos. Se usa de forma conjunta con las
entradas A, B, C, D
QA, QB, QC, (Quits): Salidas
QD

RCO
Contador
Decadal
Up/Down (4
bits)

(Clear): Entrada de borrado, en cero inicializa


todas las salidas a cero
(Clock): Entrada de Reloj
Entradas de Datos Paralelo
Entradas de Sostenimiento
(Load'): Entrada de habilitacin de carga de
datos. Se usa de forma conjunta con las
entradas A, B, C, D

Salida de Rebasamiento. Cuando el contador


llega al ltimo dgito se genera un acarreo (1)

D0, D1, D2,


Entradas Paralelo
D3
(CountEnable'): Entrada de habilitacin para
CTEN'
el contador
(Down/Up'): entrada de seleccin de la
D/U'
secuencia, ascendente o descendente
Q0, Q1, Q2,
(Quits): salidas binarias
Q3
LD'
(load): entrada de carga de los datos
presentes en D0...D3

(Max/\): salida de sealizacin de


rebasamiento del contador. En 1 indica que
M/m
hay carry al rebasar el nmero 1001 en modo
ascendente o cuando alcanza el 0000 en
modo descendente.
Salida de propagacin para conexin en
RCO
cascada con otros contadores
CLK
(Clock): entrada de reloj
VDD, GND Alimentacin

Tabla 6.3.1. Contadores en Circuito Integrado

Bibliografa
En la WEB

http://www.mitecnologico.com/Main/LogicaSecuencial

http://sistemas.itlp.edu.mx/tutoriales/circuitoselectricos/t34.html

http://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/050501.htm

http://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/070101.htm

http://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/070201.htm

http://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/060001.htm

http://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/060101.htm

http://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/060201.htm

http://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/060301.htm

También podría gustarte