Está en la página 1de 16

EJERCICIOS RESUELTOS DE SECUENCIALES

1) El sistema de apertura de una caja fuerte est compuesto por dos teclas A y B, un
circuito secuencial a disear y un temporizador que mantiene la caja fuerte abierta durante 5
minutos cuando recibe un nivel l!ico 1 desde el circuito secuencial" Este temporizador vuelve
a cerrar la caja fuerte pasado dic#o tiempo, independientemente del circuito secuencial"
$uando se pulsa la tecla A, se produce un nivel l!ico 1 que entra al circuito secuencial,
mientras que cuando se pulsa la tecla B se produce un nivel l!ico % de entrada al circuito a
disear" &ientras no se pulse nin!una tecla no se !enera nin!'n nivel l!ico de entrada al
circuito secuencial"
A
B
$ircuito
secuencial
(emporizador
$aja
fuerte
)ara abrir la caja fuerte, la combinacin secreta es* pulsar dos veces se!uidas la tecla A,
a continuacin pulsar una vez la tecla B, y finalmente pulsar una vez la tecla A" +i se #ace de
esta manera, el circuito secuencial dar una salida a nivel l!ico 1, que actuar sobre el
temporizador, permitiendo la apertura de la caja fuerte durante 5 minutos" +i en cualquier
momento se introdujera un error al pulsar la secuencia secreta, en el si!uiente ciclo de reloj
todos los biestables se pondrn a cero ,el sistema pasar al estado inicial), y la secuencia debe
volver a introducirse desde el principio"
a) -ibujar el dia!rama de estados, e.plicando claramente en qu/ consiste cada estado"
b) 0mplementar el circuito secuencial a disear usando biestables 12 y las puertas
necesarias"
1. Paso de las especificaciones verbales al diagrama de estados
En este caso, el dia!rama de estados tiene que ser capaz de reconocer la combinacin de
entrada 11%1" )artimos de un estado inicial en el que se espera la introduccin del cdi!o" A
continuacin, se pasar a un nuevo estado cada vez que se reconozca correctamente el si!uiente
bit del cdi!o, mientras que si /ste no corresponde al cdi!o se volver al estado inicial, y #abr
que teclear todo el cdi!o de nuevo" El si!nificado de los estados ser por tanto el de la
si!uiente tabla"
Estado Definicin Q1 Q0
q
%
Estado inicial"
3o #ay cdi!o
% %
q
1
+e #a recibido
el primer 1
% 1
q
4
+e #an recibido dos 1
consecutivos
1 %
q
5
+e #a recibido el % despu/s
de dos 1 consecutivos
1 1
q%
q1
q4
q5
%6%
16%
%6%
16%
%6%
%6%
16%
161
El funcionamiento del dia!rama ser el que se e.plica a continuacin" 0nicialmente nos
encontramos en el estado q
%
" &ientras no se teclee un 1 ,recordemos que la combinacin vlida
es 11%1) nos mantendremos en este estado" $uando lle!ue el primer uno pasamos a q
1
" +i el
si!uiente bit es de nuevo un 1, #abremos reconocido los dos primeros correctamente, por lo que
1
el si!uiente estado ser q
4
" +in embar!o, si en lu!ar de un 1 se recibe un %, la secuencia es
incorrecta, y como resultado #abr que re!resar a q
%
, para que el cdi!o sea tecleado por
completo de nuevo" -el mismo modo, al recibir un % estando en q
4
pasaremos a q
5
,#emos
reconocido 11%)" 7 finalmente, si en q5 la entrada es un 1, el cdi!o #a sido correcto, y por lo
tanto la salida del circuito ser 1 y volveremos a q
%
" +i la entrada es un %, entonces fall el
'ltimo bit, y aunque volvamos tambi/n a q
%
, en este caso la salida del circuito es %, puesto que el
cdi!o fue errneo"
2. Construccin de la tabla de estados
(omando el dia!rama de estados de la fi!ura anterior debe !enerarse la tabla de estados, en
la que a partir de las entradas y el estado actual se obtendr el si!uiente estado, y en la que a
partir de ambos, y con ayuda de la tabla de e.citacin se calcularn las entradas a los biestables
,12 en este caso)" 3tese como a#ora s8 aparece una funcin de salida ,+) diferente del estado
que almacenan los biestables"
E Q1(t) Q0(t) || Q1(t1) Q0(t1) | J1 !1 J0 !0 | S
99999999999999999999999999999999999999999999999999999999999999999999999999
% % % :: % % : % . % . : %
% % 1 :: % % : % . . 1 : %
% 1 % :: 1 1 : . % 1 . : %
% 1 1 :: % % : . 1 . 1 : %
1 % % :: % 1 : % . 1 . : %
1 % 1 :: 1 % : 1 . . 1 : %
1 1 % :: % % : . 1 % . : %
1 1 1 :: % % : . 1 . 1 : 1
3. Minimizacin de las funciones e implementacin del circuito
+implificando por 2arnau!# obtendremos las funciones de entrada a los biestables ,11, 21,
1% y 2%) para el clculo del nuevo estado, y la funcin de salida +"
11 ; E <% 21 ; E = <%
1% ; E >?@ <1 2% ; 1
+ ; E <1 <%
4) +e pretende disear un sistema secuencial s8ncrono con dos entradas E1 y E%, y una
salida + usando biestables -, de manera que proporcione salida alta slo cuando las dos
entradas est/n a nivel bajo #abiendo estado tambi/n a nivel bajo ambas entradas en el ciclo de
reloj anterior" Aas transiciones se producen en el flanco de bajada del reloj" En los restantes
ciclos de reloj, la salida debe ser baja" Aas seales de entrada son peridicas, con un periodo 5
veces superior al per8odo de reloj, y son las mostradas en la fi!ura" 3?(A* ?bservar que no se
producen todas las transiciones posibles"
a) @ealizar el dia!rama de transicin de estados, definiendo y codificando los estados
y las entradas"
b) @ealizar la tabla de verdad que resuelve el problema"
c) Encontrar el circuito"
4
1. Paso de las especificaciones verbales al diagrama de estados
)ara determinar el n'mero de estados necesarios debemos fijarnos en qu/ situaciones +
toma los valores % y 1" En este caso la salida + solamente se activar cuando ambas entradas ,E1
y E%) tomen el valor %, y adems tambi/n #ayan sido % en el ciclo anterior" 3os interesar
diferenciar, por tanto, dos situaciones diferentes* aqu/lla en la que las 'ltimas entradas fueron %
y otra en la que no se cumple esta condicin" +i se da la primera, y las entradas vuelven a ser %
de nuevo + tomar el valor 1" En cualquier otro caso + ser %"
)ara realizar el dia!rama, #ay que tener en cuenta que slo se dan las si!uientes
transiciones* entrada E1E%;11 desde q
1
, entrada E1E%;%% desde q
1
, Entrada E1E%;%% desde q
%
,
que es la 'nica que da salida 1 y entrada E1E%;1% desde q
%
" Esto nos permite simplificar el
diseo"
Estado Definicin
q
%
Entrada anterior ; %%
q
1
Estada anterior BC %%

q% q1
%%61
%%6%
1%6%
116%
Este comportamiento queda reflejado en el dia!rama de estados anterior" ?bs/rvese como
estando en el estado q
%
,las entradas anteriores fueron %%), mientras las entradas si!an siendo %%
la salida del circuito ser 1" +i no, cambiaremos de estado a q
1
, puesto que E1 y E% no fueron
ambas %" Estando en q
1
la salida siempre ser %, pues en el ciclo anterior las entradas no eran %,
pero si lo fueran en el ciclo que se eval'a volver8amos de nuevo a q
%
"
2. Construccin de la tabla de estados
(omando el dia!rama de estados de la fi!ura anterior debe !enerarse la tabla de estados, en
la que a partir de las entradas y el estado actual se obtendr el si!uiente estado, y en la que a
partir de ambos, y con ayuda de la tabla de e.citacin se calcularn las entradas al biestable ,-
en este caso)"
E1 E0 Q(t) || Q(t1) | D | S
99999999999999999999999999999999999999999999
% % % :: % : % : 1
% % 1 :: % : % : %
% 1 % :: . : . : .
% 1 1 :: . : . : .
1 % % :: 1 : 1 : %
1 % 1 :: . : . : .
1 1 % :: . : . : .
1 1 1 :: 1 : 1 : %
5
reloj
E1
E%
+
1 periodo
1. Minimizacin de las funciones e implementacin del circuito
+implificando por 2arnau!# obtendremos la funcin de entrada al biestable - para el
clculo del nuevo estado, y la funcin de salida +"
- ; E1
+ ; <D E1D ; ,< = E1)D
5) +e pretende disear el sistema de encendido de intermitencia de un coc#e" )ara ello
#ay que disear un circuito secuencial que cumpla las si!uientes especificaciones, de acuerdo
con la fi!ura adjunta"
$uando la palanca se coloque en la posicin -E@E$EA, se deber encender y apa!ar
de forma intermitente la luz identificada como -, de forma s8ncrona con un reloj de 1 Ez"
$uando la palanca se coloque en la posicin 0F<G0E@-A, se deber encender y apa!ar de
forma intermitente la luz identificada como 0, de forma s8ncrona con un reloj de 1 Ez" $uando la
palanca se coloque en la posicin central ,A)AHA-?) no se encender nin!una luz"
$uando se active el interruptor de E&E@HE3$0A, se activarn ambas luces
simultneamente, y se desactivarn ambas de forma s8ncrona con el reloj, independientemente
de la posicin de la palanca, es decir, la entrada de emer!encia tiene prioridad absoluta"
a) -isear la circuiter8a adicional necesaria para que nunca entre ms de una seal
activa al codificador y poner una tabla de salida del codificador para todos los casos
posibles"
b) -efinir los estados, su codificacin y su dia!rama"
c) @ealizar la tabla de e.citacin usando biestables -"
d) 0mplementar el circuito"
palanca
derec#a
apa!ado
izquierda
-
A)AH
0
E&E@
emer!encia
$?- I.4 circuito
a disear
reloj 1 Ez
A
B
$2
NOTA" Aunque en un caso real ser8a imposible mecnicamente pasar la palanca de
izquierda a derec#a directamente, sin pasar por la posicin Apa!ado, considerar que s8 se puede
al resolver este ejercicio"
En primer lu!ar debe disearse la circuiter8a necesaria para ase!urar que nunca entra
ms de una seal activa en el codificador" ?bs/rvese en la fi!ura del enunciado que las seales
e.ternas proceden de dos lu!ares diferentes" )or un lado, las seales -, A)AH e 0 son
!eneradas por un interruptor, por lo que por construccin es imposible que se activen dos
simultneamente" )or otro lado tenemos la seal E&E@, que procede de la seal emer!encia"
)or lo tanto, lo que s8 puede suceder es que /sta 'ltima y al!una de las anteriores se activen
simultneamente" )ara evitarlo es necesario in#ibir al!una de las dos cuando se d/ este caso"
I
)uesto que la seal de emer!encia es ms prioritaria, lo que #aremos ser utilizarla
como seal de JenableD del resto, tal y como se muestra en la si!uiente fi!ura" +i E&E@ es 1,
entonces E&E@D es % y las tres A3- darn como resultado %, independientemente de si
tambi/n al!una de las seales 0, - o A)AH es 1" +i E&E@ es % entonces E&E@D es 1 y las
puertas A3- permitirn el paso de las 5 seales, de las cuales, como ya se #a comentado, slo
una estar activa"
(al como se encuentran conectadas las entradas al codificador, el cdi!o de entrada en
las seales A y B ser el reco!ido por la si!uiente tabla" <1 intermitentes izquierdos y <%
intermitentes derec#os" Es un autmata de &oore, conocido el estado, se conoce la salida"
I A D E Definicin Codificacin
<1 <%
% % % 1 Emer!encia % %
% % 1 % -erec#a encendida % 1
% 1 % % Ambas apa!adas 1 %
1 % % % 0zquierda encendida 1 1
1. Paso de las especificaciones verbales al diagrama de estados
Aos cuatro posibles estados corresponden a las I combinaciones de los dos pilotos de
intermitente" Eemos asi!nado la codificacin tal y como se indica en la tabla bajo estas l8neas,
de manera que el mismo estado puede utilizarse como seal de salida para la activacin de los
intermitentes, simplificando de esta manera la funcin de salida"
Estado Definicin Codificacin
q
%
Ambas apa!adas %%
q
1
-erec#a encendida %1
q
4
0zquierda encendida 1%
q
5
Ambas encendidas 11
+e!'n esta codificacin, el dia!rama de estados del circuito secuencial a disear ser8a el
si!uiente"
q%
q1
q5
q4
1%
%1
%1,1%
%1
11
%1
%%
11
%%
%%
1%,%%
11
11,1%
5
&ientras nos encontremos en el estado q
%
, por ejemplo, y la entrada de A y B sea 1% el
si!uiente estado ser q
%
, puesto que las luces deben permanecer apa!adas" +upon!amos que
a#ora se activa la seal 0, solicitando un intermitente a la izquierda" En tal caso se recibir el
cdi!o 11 por A y B, por lo que, como se ve en el dia!rama pasaremos al estado q
4
" 3tese
como en el si!uiente ciclo de reloj, si la entrada si!ue siendo 11 el si!uiente estado ser q%,
provocando que el piloto de la izquierda se apa!ue" Aa razn de que se produzca esta transicin,
en lu!ar de permanecer en q
4
es que si no el piloto izquierdo permanecer8a iluminado
permanentemente, sin parpadear" -e esta manera, por tanto, mientras la entrada al circuito
secuencial sea 11, la mquina de estados estar constantemente oscilando entre q% y q4,
provocando as8 la intermitencia" El resto de situaciones funcionan de la misma manera"
2. Construccin de la tabla de estados
(omando el dia!rama de estados de la fi!ura anterior debe !enerarse la tabla de estados,
en la que a partir de las entradas A y B y el estado actual se obtendr el si!uiente estado, y en la
que a partir de ambos, y con ayuda de la tabla de e.citacin, se calcularn las entradas a los
biestables ,- en este caso)"
A # Q1(t) Q0(t) || Q1(t1) Q0(t1) | D1 D0
9999999999999999999999999999999999999999999999999999999999999999
% % % % :: 1 1 : 1 1
% % % 1 :: 1 1 : 1 1
% % 1 % :: 1 1 : 1 1
% % 1 1 :: % % : % %
% 1 % % :: % 1 : % 1
% 1 % 1 :: % % : % %
% 1 1 % :: % 1 : % 1
% 1 1 1 :: % 1 : % 1
1 % % % :: % % : % %
1 % % 1 :: % % : % %
1 % 1 % :: % % : % %
1 % 1 1 :: % % : % %
1 1 % % :: 1 % : 1 %
1 1 % 1 :: 1 % : 1 %
1 1 1 % :: % % : % %
1 1 1 1 :: 1 % : 1 %
Minimizacin de las funciones e implementacin del circuito
+implificando por 2arnau!# obtendremos las funciones de entrada a los biestables ,-1 y
-%) para el clculo del nuevo estado" Aa funcin de salida ,+1+%), como se #a comentado,
consiste simplemente en conectar cada salida de los biestables a la seal de activacin de cada
una de los intermitentes"
-1 ; ,A = B)D ,<1 <%)D = AB ,<1D = <%) +1 ; <1 ,0F<G0E@-?+)
-% ; AD K BD ,<1 <%)D = B ,<%D = <1) L +% ; <% ,-E@E$E?+)
I) +e desea disear el circuito de control de un semforo de peatones, cuyo
funcionamiento se describe a continuacin"
&ientras no se active el pulsador ,) ; %), el semforo permanecer por tiempo
indefinido en ME@-E" $uando se pulse ), se encender en el si!uiente ciclo de reloj la luz
A&BA@, sin apa!arse la ME@-E, y transcurridos 5 se!undos, se apa!arn ambas y se
encender la @?1A durante I% se!undos, finalizados los cuales se volver a la situacin inicial
con slo la luz ME@-E encendida" +e supone que ) se pulsa slo cuando est/ encendida la luz
N
ME@-E, y nunca en los restantes casos" El sistema completo funciona se!'n el esquema de la
fi!ura adjunta"
circuito
secuencial
)
bloque 1
A
B
reloj 1 Ez
3?@
3?@
contador 5
OA E
@eset
contador I%
OB E
@eset
bloque 4
$
-
@
@
A
M
$uando se encienden las luces ME@-E y A&BA@ el bloque 4 pone la l8nea $ a nivel %,
mientras que cuando se enciende slo la luz @?1A pone la l8nea - a nivel %" &ientras que
al!una de estas l8neas est a nivel %, queda in#ibida la entrada del reloj al circuito secuencial"
&ientras el semforo est/ ME@-E, los pulsos de reloj entran al circuito secuencial
Aos contadores tienen una entrada @E+E(, activa a nivel alto, y una entrada de
EAB0A0(A$0?3 ,E), activa a nivel bajo" $uando los contadores cuentan el 'ltimo n'mero
previsto presentan en su salida respectiva OA6OB un nivel 1, sealando que se #a lle!ado al final
de la cuenta" 3?(A* @ est conectado a las entradas de reloj de los tres biestables - que #ay en
el circuito secuencial a disear"
a) P$ul es la implementacin ms sencilla del bloque 1 considerando que la entrada
de reloj al circuito secuencial debe in#ibirse ,@ ; %) cuando A o B sean 1Q
b) 0mplementar el bloque 4, considerando que cuando est/n activadas las luces
ME@-E y A&BA@, $ debe ser %, y cuando est/ encendida la luz @?1A, - debe ser
%" )ara ello usar slo una puerta l!ica y un inversor"
c) -isear el circuito secuencial usando 5 biestables tipo - con salidas conectadas
directamente a las luces del semforo"
En la fi!ura del enunciado pueden apreciarse cuatro bloques bien diferenciados" )or un
lado el circuito secuencial, encar!ado de !enerar la secuencia correcta de iluminacin, por otro
el bloque 4 responsable de activar los contadores en funcin de la transicin del semforo ,de
verde y amarillo a rojo, y de rojo a verde)" )or 'ltimo, el bloque 1 debe encar!arse de in#abilitar
el circuito secuencial mientras al!uno de los contadores est en marc#a" Esta in#abilitacin se
realiza desactivando la seal de reloj del circuito, de manera que as8 no puede cambiar de estado
#asta que el reloj se active de nuevo, cosa que suceder cuando los contadores #ayan terminado"
Aa implementacin del bloque 1, por lo tanto ser la que reco!e la si!uiente tabla de
verdad" ?bs/rvese como cuando nin!uno de los contadores est en marc#a ,A y B son %) la
entrada al circuito secuencial es la del reloj del sistema" +in embar!o, si uno de los dos
contadores se pone en marc#a ,A o B son %) la entrada de reloj del circuito secuencial es @ ; %,
impidiendo que pueda cambiar de estado #asta que el contador no #aya terminado" $omo los
contadores no pueden activarse simultneamente la combinacin A y B i!ual a 1 no se
producir nunca"
R

q% q1
q4
% 1
%
%
A # | R
$$$$$$$$$$$$$$$$$
% % : reloj
% 1 : %
1 % : %
1 1 : >
@ ; AD BD reloj ; ,A = B)D reloj
El se!undo bloque es el responsable de la activacin de los contadores" El primer
contador, !obernado por la seal $ debe ponerse en marc#a ,$ ; %) cuando se enciendan las
luces verde y mbar" El se!undo, disparado por la seal -, se activar ,- ; %) cuando el
semforo est/ en rojo" +e!'n esta codificacin, y teniendo en cuenta que e.isten combinaciones
que no se producirn nunca ,por ejemplo un semforo en el que no est/ activada nin!una luz* M
; %, A ; % y @ ; %) se #a completado el diseo del bloque 4"
% A R | C D
$$$$$$$$$$$$$$$$$$$$$$$
% % % : . .
% % 1 : 1 %
% 1 % : . .
% 1 1 : . .
1 % % : 1 1
1 % 1 : . .
1 1 % : % 1
1 1 1 : . .
$ ; AD - ; @D ; M
)or 'ltimo, queda disear el circuito secuencial propiamente dic#o, para lo cual
procederemos como siempre"
1. Paso de las especificaciones verbales al diagrama de estados
)uesto que el semforo slo utiliza tres de las posibles combinaciones de colores ,verde,
verde y mbar, y rojo), slo sern necesarios tres estados para su codificacin" )or lo tanto, con
dos biestables tendr8amos suficiente para almacenar las tres codificaciones" +in embar!o, y para
simplificar la salida del circuito, puesto que cada luz del semforo es controlada por una salida
diferente, podr8amos utilizar tres biestables, de manera que cada uno de ellos fuera el
responsable de la activacin o desactivacin de una de las l8neas" -e esta manera se
desperdician 5 de las oc#o posibles combinaciones posibles con los tres biestables, pero a
cambio la salida del circuito es directamente el estado almacenado en sus biestables ,mquina
de &oore)"
Estado Definicin Q& Qa Q'
q% Merde 1 % %
q1 Merde y mbar 1 1 %
q4 @ojo % % 1
En realidad se puede considera un contador que cuenta
1%% S 11% S %%1 S 1%% y as8 sucesivamente"
T
2. Construccin de la tabla de estados
(omando el dia!rama de estados de la fi!ura anterior debe !enerarse la tabla de estados, en
la que a partir de la entrada ) y el estado actual se obtendr el si!uiente estado, y en la que a
partir de ambos, y con ayuda de la tabla de e.citacin se calcularn las entradas a los biestables
,- en este caso)"
( Q&(t) Qa(t) Q'(t) || Q&(t1) Qa(t1) Q'(t1) | D& Da D'
99999999999999999999999999999999999999999999999999999999999999999999999999999999999999
% % % % :: . . . : . . .
% % % 1 :: 1 % % : 1 % %
% % 1 % :: . . . : . . .
% % 1 1 :: . . . : . . .
% 1 % % :: 1 % % : 1 % %
% 1 % 1 :: . . . : . . .
% 1 1 % :: % % 1 : % % 1
% 1 1 1 :: . . . : . . .
1 % % % :: . . . : . . .
1 % % 1 :: . . . : . . .
1 % 1 % :: . . . : . . .
1 % 1 1 :: . . . : . . .
1 1 % % :: 1 1 % : 1 1 %
1 1 % 1 :: . . . : . . .
1 1 1 % :: . . . : . . .
1 1 1 1 :: . . . : . . .
3. Minimizacin de las funciones e implementacin del circuito
+implificando por 2arnau!# obtendremos las funciones de entrada a los biestables ,-v, -a,
y -r) para el clculo del nuevo estado" Aa funcin de salida, como se #a comentado, consiste
simplemente en conectar cada salida de los biestables a la seal de activacin de cada una de las
luces de los semforos
-v ; <aD -a ; ) -r ; <a
5) Gna mquina e.pendedora de tabaco est controlada por un circuito secuencial como
el de la fi!ura" -ic#o circuito recibe como entradas el cdi!o de dos bits ,tabla 1) de las
monedas que va introduciendo el usuario" $omo salidas, el circuito debe activar 4 seales,
correspondientes a si se debe o no entre!ar el tabaco ,(), y la cantidad de cambio a reinte!rar
,$1 y $%), codificadas se!'n las tablas 4 y 5 respectivamente"
El funcionamiento del circuito es el si!uiente" El usuario debe introducir monedas #asta
alcanzar la cantidad de 1%%, que ser el precio del tabaco" Gna vez que la suma de monedas
alcance dic#o importe, automticamente la mquina servir el tabaco, retornando adems el
cambio correspondiente" )or ejemplo, si el usuario #a introducido 1 moneda de 45 y 4 monedas
de 5%, la mquina debe servirle el paquete y una moneda de 45 ,salidas ( $1 $% ; 1 % 1)"
U
$,4)
$ircuito secuencial
&,4) ( ,1)
&1 &% +i!nificado
% % 3o #ay moneda
% 1 3ueva moneda de 45
1 % 3ueva moneda de 5%
1 1 3ueva moneda de 1%%
(abla 1* $odificacin de la entrada &
( +i!nificado
% 3o servir tabaco
1 +ervir tabaco
(abla 4* $odificacin de la salida (
$1 $% +i!nificado
% % 3o #ay cambio
% 1 $ambio de 45
1 % $ambio de 5%
1 1 $ambio de R5
(abla 5* $odificacin del cambio $
-isea el circuito secuencial correspondiente, indicando claramente*
El n'mero y codificacin de estados"
El dia!rama de estados"
Aa tabla de transiciones y salidas del circuito, utilizando para ello un biestable ( ,para
el bit ms si!nificativo que almacena el estado), y biestables 12 para el resto"
Aa implementacin del circuito, utilizando mapas de 2arnau!# para implementar todas
las funciones"
1%
N) -isear un sistema secuencial capaz de reconocer el patrn %%1%11" Aa entrada al
circuito se realizar a trav/s de una seal de entrada E, de forma s8ncrona, y en serie ,un nuevo
bit cada ciclo de reloj)" El circuito dispondr de una salida + que tomar el valor J1D en el
instante en el que se reconozca el patrn en la secuencia de entrada, y ser J%D en todos los
dems casos" 3?(A* debe tenerse en cuenta que al recibir un bit fuera de secuencia no #ay que
desec#ar todos los valores reco!idos #asta ese momento" Es posible que parte de la secuencia
si!a siendo vlida" )or ejemplo, si suponemos que se #a recibido la secuencia %%, y a
continuacin lle!a un tercer %, se considerar que los 4 'ltimos ceros son parte de una secuencia
correcta"
+e pide*
a) 0dentificacin y codificacin de estados"
b) -ia!rama de estados"
c) $ompletar la tabla de verdad teniendo en cuenta que deben utilizarse biestables
tipo 12, ( y - ,de ms a menos si!nificativo) para la implementacin f8sica del
circuito"
1) -efinicin de estados y codificacin
E+(A-?
$?-0O0$A$0V3
Q2(t) Q1(t) Q0(t) -EO030$0V3
q
%
% % % 0nicial" @esto de estados
q
1
% % 1 El 'ltimo bit recibido fue %
q
4
% 1 % Aos dos 'ltimos bits recibidos fueron %%
q
5
% 1 1 Aos tres 'ltimos bits recibidos fueron %%1
q
I
1 % % Aos cuatro 'ltimos bits recibidos fueron %%1%
q
5
1 % 1 Aos cinco 'ltimos bits recibidos fueron %%1%1
)) -ia!rama de estados
5) 0mplementacin
E Q)(t) Q1(t) Q0(t) Q)(t1) Q1(t1) Q0(t1) J) !) T1 D0 S
% % % % % % 1 % > % 1 %
% % % 1 % 1 % % > 1 % %
% % 1 % % 1 % % > % % %
% % 1 1 1 % % 1 > 1 % %
% 1 % % % 1 % > 1 1 % %
% 1 % 1 % % 1 > 1 % 1 %
% 1 1 % > > > > > > > >
% 1 1 1 > > > > > > > >
1 % % % % % % % > % % %
1 % % 1 % % % % > % % %
1 % 1 % % 1 1 % > % 1 %
1 % 1 1 % % % % > 1 % %
1 1 % % 1 % 1 > % % 1 %
1 1 % 1 % % % > 1 % % 1
1 1 1 % > > > > > > > >
1 1 1 1 > > > > > > > >
11
EJERCICIOS RESUELTOS DE RE*ISTROS + CONTADORES
1) -ado el circuito de la fi!ura, determinar la secuencia de salida serie por <
-
si la situacin
inicial de los biestables es <
A
;1, <
B
;1, <
$
;1 y <
-
;%"
(eniendo en cuenta que ) ,
D B C B
Q Q Q Q ! + + = , y considerando que el re!istro
de desplazamiento mueve los datos al bit de la derec#a en cada ciclo de reloj, resulta la
si!uiente tabla*
O'den Q
A
(t) Q
#
(t) Q
C
(t) Q
D
(t) E+ Q
A
(t1) Q
#
(t1) Q
C
(t1) Q
D
(t1)
0 0 0 0 1 1 0 0 0
0 0 0 1 % 0 0 0 0
0 0 1 0 1 1 0 0 1
Te'ce'o 0 0 1 1 1 1 0 0 1
Q,into 0 1 0 0 1 1 0 1 0
0 1 0 1 1 1 0 1 0
0 1 1 0 % 0 0 1 1
Se-,ndo 0 1 1 1 % 0 0 1 1
1 0 0 0 1 1 1 0 0
C,a'to 1 0 0 1 % 0 1 0 0
Se.to 1 0 1 0 1 1 1 0 1
1 0 1 1 1 1 1 0 1
1 1 0 0 1 1 1 1 0
S/0ti1o 1 1 0 1 1 1 1 1 0
('i1e'o 1 1 1 0 % 0 1 1 1
1 1 1 1 % 0 1 1 1
Aa evolucin particular en este circuito es la reflejada por el si!uiente dia!rama*
con lo que la secuencia de salida en <
-
ser 0111001 repetida peridicamente"
4) -ado el circuito de la fi!ura, determinar el crono!rama de las salidas de los dos contadores"
+uponer que ambos contadores son activos en el flanco de subida" 0nicialmente los dos
contadores estn a %"
Eay que tener en cuenta que el contador de 4 bits se pone a % cuando <
B
;1 y cuando
1 = "
, mientras que el contador de 5 bits se pone a % cuando <
E
;1,
1 = "
y <
$
;1" (ambi/n
debe considerarse que el impulso de cuenta del contador de tres bits se produce en las
transiciones bajo9alto de $D
W
, que es la A3- de $
W
con >"
5) @1 y @4 son dos re!istros de desplazamiento de T bits, en cada uno de los cuales estn
almacenados dos n'meros binarios 31 y 34 respectivamente" +e trata de disear un circuito
secuencial s8ncrono con dos entradas .1 y .4 por las que entran bit a bit en cada ciclo de reloj
los n'meros 31 y 34, comenzando por el bit ms si!nificativo ,&+B), y dos salidas z1 y z4
,por z1 sale el menor de los dos n'meros y por z4 el mayor)"
a) -efinir claramente los estados del sistema y su codificacin"
b) Encontrar el dia!rama de estados y las tablas de transicin y e.citacin usando
biestables ("
c) Encontrar las ecuaciones de salida z1 y z4 teniendo en cuenta que slo se dispone de
puertas 3A3- y de e.citacin de los biestables para implementar con puertas A3- e
inversores" ,3? )?3E@ EA -0AH@A&A AVH0$?, +VA? AA+ E>)@E+0?3E+)"

R1 x1 z1

Circuito Secuencial a
disear

R2 x2 z2


Reloj
I) +e trata de disear un circuito secuencial que admite como entradas dos l8neas A y B,
procedentes de sendos re!istros de desplazamientos, de forma sincronizada con un reloj, por las
que entran 1 bit por cada l8nea en cada ciclo de reloj"

R1 ! z1

Circuito Secuencial a
disear

R" # z"


Reloj
En cada momento se debe ver en la salida z1 z%, codificado en binario, el n'mero de
ceros que faltan por introducir #asta que /ste ,el n'mero de ceros introducidos) sea un m'ltiplo
de I" +uponer que z1 es la l8nea ms si!nificativa de la salida y que el estado inicial, es decir,
cuando todav8a no se #a introducido nin!'n cero, es el mismo que el estado correspondiente a
introducir un n'mero de ceros que sea m'ltiplo de I"
+e pide*
-efinicin de estados, codificacin de entradas, estados y salidas"
0mplementacin del circuito usando biestables ("
+i se usasen biestables -, Pqu/ relacin al!ebraica #abr8a entre la e.citacin de
dic#os biestables y las salidas del circuitoQ
1) -efinicin de estados y codificacin
E+(A-? $?-0O0$A$0V3
Q
2
(t) Q
1
(t)
-EO030$0V3
q
%
% % 0nicial" El n'mero de ceros introducidos es m'ltiplo de I
q
1
% 1 Oaltan por introducir tres ceros para que el n'mero de ceros sea
m'ltiplo de I
q
4
1 % Oaltan por introducir dos ceros para que el n'mero de ceros sea
m'ltiplo de I
q
5
1 1 Oalta por introducir un cero para que el n'mero de ceros sea
m'ltiplo de I
-ia!rama de estados ,&quina de &oore)
b) 0mplementacin con biestables (
A # Q
1
(t) Q
0
(t) Q
1
(t1) 2
D
1
Q
0
(t1) 2
D
0

T
1
T
0
3
1
3
0
% % % % 1 % 1 % 1 %
% % % 1 1 1 1 % % 1
% % 1 % % % 1 % % %
% % 1 1 % 1 1 % 1 1
% 1 % % % 1 % 1 1 1
% 1 % 1 1 % 1 1 1 %
% 1 1 % 1 1 % 1 % 1
% 1 1 1 % % 1 1 % %
1 % % % % 1 % 1 1 1
1 % % 1 1 % 1 1 1 %
1 % 1 % 1 1 % 1 % 1
1 % 1 1 % % 1 1 % %
1 1 % % % % % % % %
1 1 % 1 % 1 % % 1 1
1 1 1 % 1 % % % 1 %
1 1 1 1 1 1 % % % 1
% % 1
X X X Q B B # Q # $ + + =
B # $ =
%

% % % % %
X X X X X X X X Q B # Q B # Q B # Q B # % + + + =
c) 0mplementacin con biestables -
% %
% D =
% 1 1
% % D =
% 1 1 % 1 % 1 % 1 1
X X X X X X X X X X X X Q Q B Q B # Q Q # Q Q B # Q Q B # % + + + + =

También podría gustarte