Está en la página 1de 19

1 1

6. Circuitos combinacionales
y puertas lgicas
Luis Rincn Crcoles
Jos Ignacio Martnez Torre
Susana Borromeo
Cristina Conde Vilda
ngel Serrano Snchez de Len
Estructura y Tecnologa de Computadores (ITIG)
2
Tema 6. Circuitos combinacionales y puertas lgicas
Programa
1. Introduccin.
2. Puertas lgicas bsicas.
3. Anlisis y sntesis de circuitos combinacionales.
4. Bloques combinacionales estndares principales.
4.1. Sumadores y restadores.
4.2. Decodificadores.
4.3. Multiplexores.
4.4. Desplazadores.
4.5. Dispositivos Lgicos Programables (Memorias ROM).
5. Bibliografa.
Conceptos bsicos: circuito combinacional, puertas lgicas bsicas (AND, OR,
NOT, NAND, NOR, XOR, XNOR), anlisis de circuitos, sntesis de circuitos,
bloques combinacionales estndares (sumador/restador, decodificador,
multiplexor, desplazador, memorias ROM).
2 2
3
Tema 6. Circuitos combinacionales y puertas lgicas
1. Introduccin
En los circuitos combinacionales la salida Z en un determinado instante
de tiempo t
i
slo depende de X en ese mismo instante de tiempo t
i
, es
decir que no tienen capacidad de memoria y que se puede obviar la variable
de tiempo t.
Z(t) = F(X(t)) Z = F(X)
F X Z
Ejemplo: Sumador
Los circuitos combinacionales que vamos a estudiar son:
Puertas lgicas
Bloques combinacionales
Sumadores y restadores.
Decodificadores y multiplexores.
Desplazadores.
Dispositivos lgicos programables (ROM).
4
Tema 6. Circuitos combinacionales y puertas lgicas
2. Puertas Lgicas
Puerta Lgica: Circuitos electrnicos compuestos por resistencias, diodos y
transistores que realizan las distintas operaciones booleanas.
Vamos a estudiar circuitos lgicos con el convenio de lgica positiva:
Nivel H (5 voltios) 1 y Nivel L (0 voltios) 0.
Las puertas lgicas bsicas son:
AND.
OR.
NOT.
NAND.
NOR.
XOR.
XNOR.
3 3
5
Tema 6. Circuitos combinacionales y puertas lgicas
Puertas Lgicas Bsicas: AND
Tabla de verdad
1 1 1
0 0 1
0 1 0
0 0 0
Z B A
Z = A AND B = A B
6
Tema 6. Circuitos combinacionales y puertas lgicas
Puertas Lgicas Bsicas: OR
Tabla de verdad
1 1 1
1 0 1
1 1 0
0 0 0
Z B A
Z = A OR B = A + B
4 4
7
Tema 6. Circuitos combinacionales y puertas lgicas
Puertas Lgicas Bsicas: NOT
Z = NOT A = A
0 1
1 0
Z A
Tabla de verdad
8
Tema 6. Circuitos combinacionales y puertas lgicas
Puertas Lgicas Bsicas: NAND
Tabla de verdad
0 1 1
1 0 1
1 1 0
1 0 0
Z B A
Z = A NAND B = (A B)
5 5
9
Tema 6. Circuitos combinacionales y puertas lgicas
Puertas Lgicas Bsicas: NOR
Tabla de verdad
0 1 1
0 0 1
0 1 0
1 0 0
Z B A
Z = A NOR B = (A + B)
10
Tema 6. Circuitos combinacionales y puertas lgicas
Puertas Lgicas Bsicas: XOR
Tabla de verdad
0 1 1
1 0 1
1 1 0
0 0 0
Z B A
Z = A XOR B = A B
6 6
11
Tema 6. Circuitos combinacionales y puertas lgicas
Puertas Lgicas Bsicas: XNOR
Tabla de verdad
1 1 1
0 0 1
0 1 0
1 0 0
Z B A
Z = A XNOR B = A B
12
Tema 6. Circuitos combinacionales y puertas lgicas
3. Anlisis de Circuitos Combinacionales
Anlisis: Obtencin de las funciones de conmutacin que describen el
comportamiento del circuito, expresando la salida en funcin de las
entradas.
Ejemplo:
Z=(X
2
X
1
+ X
1
X
0
+ X
2
X
1
X
0
)
X
2
X
2
X
1
X
1
X
1
X
0
X
0
X
2
X
1
X
1
X
0
X
2
X
1
X
0
7 7
13
Tema 6. Circuitos combinacionales y puertas lgicas
Sntesis: Suma de Productos
Sntesis: Materializar un circuito a partir de la funcin de conmutacin. Es
inmediato generarlo con puertas AND-OR-NOT en forma de suma de
productos a partir de dicha funcin.
Ejemplo: Z= x
2
+ x
1
x
0
+ x
3
x
2
x
0
+ x
2
x
1
x
0
14
Tema 6. Circuitos combinacionales y puertas lgicas
La sntesis de un sistema combinacional en forma de suma de productos
mediante puertas NAND es directa materializando todos los operadores
que aparecen en la expresin de conmutacin con puertas NAND y se
aaden inversores en los trminos formados por nico literal.
Ejemplo: Z= x
2
+ x
1
x
0
+ x
3
x
2
x
0
+ x
2
x
1
x
0
= x
2
x
1
x
0
x
3
x
2
x
0
x
2
x
1
x
0
T
ma
. Morgan
Sntesis: Puertas NAND (e inversores)
8 8
15
Tema 6. Circuitos combinacionales y puertas lgicas
La sntesis de un sistema combinacional en forma de productos de sumas
mediante puertas AND-OR-NOT es directa materializando los operadores
que aparecen en la expresin de conmutacin con sus puertas lgicas
equivalentes.
Ejemplo: Z= x
2
(x
1
+x
0
)(x
3
+x
2
+x
0
)(x
2
+x
1
+x
0
)
Sntesis: Producto de Sumas
16
Tema 6. Circuitos combinacionales y puertas lgicas
La sntesis de un sistema combinacional en forma de productos de sumas
mediante puertas NOR es directa materializando los operadores que aparecen
en la expresin de conmutacin con sus puertas NOR y aadiendo inversores en
los trminos formados por un nico literal.
Ejemplo: Z= x
2
(x
1
+x
0
)(x
3
+x
2
+x
0
)(x
2
+x
1
+x
0
)= x
2
+(x
1
+x
0
)+(x
3
+x
2
+x
0
)+(x
2
+x
1
+x
0
)
T
ma
. Morgan
Sntesis: Puertas NOR (e inversores)
9 9
17
Tema 6. Circuitos combinacionales y puertas lgicas
4. Bloques combinacionales estndares
Las materializaciones en forma de redes de puertas bsicas no son adecuadas
cuando la complejidad del diseo es grande.
En estos casos se realiza diseo jerrquico y modular, y no
materializaciones mediante redes de puertas bsicas (gran propensin a
errores).
Ese diseo jerrquico y modular se puede llevar a cabo si se dispone de
mdulos que realicen funciones ms complejas que las puertas bsicas y que
permitan dividir el diseo en partes ms sencillas.
Los mdulos que vamos a estudiar en lo que queda de tema son:
sumador/restador, decodificador, multiplexor, desplazador, ROM. Otro mdulo
que estudiaremos en temas posteriores es la ALU.
18
Tema 6. Circuitos combinacionales y puertas lgicas
4.1. Sumadores: semisumador elemental
El semisumador (half adder) es un circuito que suma dos bits de entrada a y
b y devuelve un bit de resultado s y un bit de acarreo c
out
.
Cronograma
Circuito con puertas lgicas
Sum
+
CarryOut
a
b
c
out
= ab
s = a b
Tabla de verdad
s
0 1 1 1
1 0 0 1
1 0 1 0
0 0 0 0
s c
out
b a
S
S
10 10
19
Tema 6. Circuitos combinacionales y puertas lgicas
Sumador elemental completo
El sumador completo (full adder) es un circuito que suma dos bits de entrada
a y b ms un acarreo de entrada c
in
y devuelve un bit de resultado s y un bit
de acarreo c
out
.
Tabla de verdad
Cronograma
c
OUT
= ab + ac
IN
+bc
IN
s = a b c
IN
+
c
e
c
s
s
b a
c
IN
c
OUT
S
S
1 1 1 1 1
0 1 0 1 1
0 1 1 0 1
1 0 0 0 1
0 1 1 1 0
1 0 0 1 0
1 0 1 0 0
0 0 0 0 0
s c
out
c
in
b a
20
Tema 6. Circuitos combinacionales y puertas lgicas
Sumador de n bits con propagacin de acarreo en serie
Circuito con sumadores elementales
+
n n
A B
S
c
s
c
e
n
+
c
e
c
s
s
b a
+
c
e
c
s
s
b a
+
c
e
c
s
s
b a
a
0
b
0
a
1
b
1
b
n-1
a
n-1
s
0
s
1
s
n-1
...
c
n-1
c
-1
Se construye asociando n sumadores
elementales completos (full adder) que
reciben y procesan todos ellos los datos en
paralelo. El acarreo se propaga en serie de
un sumador a otro.
11 11
21
Tema 6. Circuitos combinacionales y puertas lgicas
Restadores binarios
Restar en C2: al minuendo se suma el complemento a 2 del sustraendo:
Para complementar el sustraendo, invertimos todos sus bits e
introducimos un 1 en el acarreo de entrada del sumador menos significativo.
Por este procedimiento tambin haba que invertir el acarreo de salida.
Esto funciona tanto para binario puro como para complemento a 2 (en
complemento a 2 el acarreo se desprecia, y habra que detectar el posible
desbordamiento de otro modo).
+
c
e
c
s
s
b a
+
c
e
c
s
s
b a
+
c
e
c
s
s
b a
a
0
b
0
a
1
b
1
b
n-1
a
n-1
s
0
s
1
s
n-1
...
c
n-1
'1'
22
Tema 6. Circuitos combinacionales y puertas lgicas
Sumador/restador
Podemos unir los circuitos anteriores y construir uno que haga sumas y restas en
funcin de una seal de control SUMADOR / RESTADOR DE n BITS.
Op = 0 OPERACIN DE SUMA
Op = 1 OPERACIN DE RESTA
+
c
e
c
s
s
b a
+
c
e
c
s
s
b a
+
c
e
c
s
s
b a
a
0
b
0
a
1
b
1
b
n-1
a
n-1
s
0
s
1
s
n-1
...
c
n-1
+
c
e
c
s
s
b a
s
n-1
b
n-2
a
n-2
Op
Ejercicio 7 (Tema 5):

=
=

12 12
23
Tema 6. Circuitos combinacionales y puertas lgicas
4.2. Decodificadores
Un decodificador (o decodificador de n a 2
n
) es un mdulo combinacional con n
entradas y 2
n
salidas, adems de una seal de activacin (Enable) de entrada.
El decodificador activa la salida i-sima cuando se presenta la combinacin binaria
i en las entradas, siempre y cuando el mdulo est activo (enable=1): se activa la
salida correspondiente al nmero binario codificado en la entrada.
24
Tema 6. Circuitos combinacionales y puertas lgicas
Ejemplo: Decodificador de 4 a 16.
13 13
25
Tema 6. Circuitos combinacionales y puertas lgicas
Sntesis de funciones con decodificadores
Un decodificador permite materializar todos los minterms de una funcin de n
variables.
Por lo tanto se puede usar para sintetizar cualquier funcin de n variables
expresada como suma de minterms sin ms que usar un decodificador de n a
2
n
y una puerta OR con tantas entradas como sumandos tenga la
expresin de la funcin.
26
Tema 6. Circuitos combinacionales y puertas lgicas
Ejemplo: diseo de las funciones f
1
,f
2
y f
3
mediante decodificadores.
14 14
27
Tema 6. Circuitos combinacionales y puertas lgicas
4.3. Multiplexores
Un multiplexor (o multiplexor de 2
n
a 1) es un mdulo combinacional con 2
n
entradas y 1 salida, adems de una seal de activacin y n seales de control.
El multiplexor conecta una de las 2
n
entradas a la salida. Esta entrada se
selecciona con la palabra de control S (n bits).
28
Tema 6. Circuitos combinacionales y puertas lgicas
Ejemplo: Multiplexor de 4 entradas (de 4 a 1).
15 15
29
Tema 6. Circuitos combinacionales y puertas lgicas
Sntesis de funciones con multiplexores
Un nico multiplexor de 2
n
a 1 permite materializar cualquier funcin de
conmutacin de n variables. La expresin de una funcin como suma de
productos consiste en la suma de los minterms m
i
para los que la FC, f(i), toma
valor cierto, es decir:
Obviando E (enable), esta expresin coincide con la expresin del multiplexor
si se identifican: x
i
= f(i) i=0, ..., 2
n
-1, (s
n-1
, ..., s
0
) =(a
n-1
, ..., a
0
). En resumen,
debemos conectar:
Las entradas de la funcin (a
n-1
,, a
0
) a las entradas de control del
multiplexor (s
n-1
,...,s
0
) .
El valor f(i) que toma la funcin con la entrada de datos x
i
del multiplexor:
0 conexin a tierra (GND).
1 conexin a fuente de alimentacin (VCC).
30
Tema 6. Circuitos combinacionales y puertas lgicas
16 16
31
Tema 6. Circuitos combinacionales y puertas lgicas
4.4. Desplazadores
Un desplazador (shifter) es un mdulo combinacional con n+2 entradas de
datos y n salidas, adems de una seal de activacin y seales de control.
El desplazador puede mover o no
bits a derecha e izquierda en
desplazamientos abiertos o
cerrados (rotaciones) bajo las
rdenes de las seales control.
32
Tema 6. Circuitos combinacionales y puertas lgicas
Aunque se pueden materializar mediante expresiones de conmutacin a travs
de puertas lgicas, la construccin habitual suele consistir en un conjunto de
multiplexores.
17 17
33
Tema 6. Circuitos combinacionales y puertas lgicas
4.5. Dispositivos Lgicos Programables
Conjunto de circuitos integrados formados por cierto nmero de puertas
lgicas y/o mdulos bsicos y/o biestables cuyas conexiones pueden ser
personalizadas o programadas, bien sea por el fabricante o por el usuario.
Costes de produccin bajos (fabricacin de grandes tiradas).
Personalizacin de diseos por los usuarios (aumentan la confidencialidad).
Consumos medios, aunque hay familias especializadas en bajo consumo.
Velocidad intermedia.
Fiabilidad alta.
Tiempo de desarrollo muy bajo, sin dependencia de terceros.
Metodologa sencilla.
Equipamiento sencillo.
Ejemplos:
ROM (Read-only memory).
PAL (Programmable Array Logic).
PLA (Programmable Logic Array).
FPGA (Field-Programmable Gate Array).
34
Tema 6. Circuitos combinacionales y puertas lgicas
Memorias ROM (Read-only memory)
Memorias no voltiles y de acceso aleatorio.
ROM y PROM slo permiten lectura.
La informacin se graba en el proceso de fabricacin (ROM) o mediante un
proceso elctrico posterior irreversible (PROM). Informacin inalterable.
EPROM, EEPROM y Flash son memorias permanentes, pero pueden
borrarse mediante luz UV (EPROM) o elevadas corrientes elctricas
(EEPROM y Flash).
EPROM: se borran totalmente; EEPROM: se borran a nivel de palabra.
Flash: se borran a nivel de bloque (mayor velocidad).
ROM EPROM Flash
18 18
35
Tema 6. Circuitos combinacionales y puertas lgicas
Una memoria ROM (Read Only Memory - memoria de slo lectura) es un
mdulo combinacional con n entradas de direcciones y k salidas de datos,
adems de una o varias seales de activacin o seleccin.
Una memoria ROM es un circuito programable,
que se compone internamente de dos grupos
de puertas: un grupo de puertas AND (e
inversores) y un grupo de puertas OR.
El grupo de puertas AND estn programadas
de antemano y conectadas de forma
inalterable, mientras que el grupo de puertas
OR son programables por el usuario.
36
Tema 6. Circuitos combinacionales y puertas lgicas
El grupo de puertas AND se puede ya entender como un decodificador de n a
2
n
con el que se generan todos los minterms para cualquier funcin de n
variables (direcciones).
Ese decodificador (prefijado) junto a un grupo
de puertas OR programables permite
materializar cualquier funcin de n variables.
Cualquier salida de datos de la ROM
materializa la siguiente ecuacin de
conmutacin:
donde:
f
j
(i)=1 si existe la conexin (fila i, columna j) en el grupo OR
f
j
(i)=0 si no existe dicha conexin
grupo OR
19 19
37
Tema 6. Circuitos combinacionales y puertas lgicas
Ejemplo: Materializar el comparador de
de dos palabras a={a
1
a
0
} y b={b
1
b
0
} que
cumple lo siguiente:
(Queda como ejercicio demostrarlo)
Seleccionamos las salidas que generan
los minterms de las funciones y
programar las conexiones en el grupo
OR para cada una de las salidas. Se
almacena directamente la tabla de
verdad.
38
Tema 6. Circuitos combinacionales y puertas lgicas
D.A. PATTERSON, J.L. HENNESSY. Estructura y Diseo de Computadores.
Revert, 2000.
A. PRIETO, A. LLORIS, J.C. TORRES. Introduccin a la Informtica. 3
edicin, McGraw-Hill, 2002.
J.M. ANGULO, J.GARCA. Sistemas Digitales y Tecnologa de Computadores.
Paraninfo, 2002.
D.D. GAJSKI. Principios de diseo digital. Prentice Hall, 1997.
T.L. FLOYD. Fundamentos de sistemas digitales. Prentice Hall, 2000.
W. STALLINGS. Organizacin y Arquitectura de Computadores. 5 edicin,
Prentice Hall, 2000.
5. Bibliografa

También podría gustarte