Está en la página 1de 217

Electrónica Analógica y Digital

MATERIAL TÉCNICO
DE APOYO
Electrónica Analógica Y Digital

TAREA N°01

ELECTRÓNICA ANALÓGICA
Implementar circuitos de aplicación con diodos

Diodo Semiconductor
Un diodo es un componente electrónico que solamente permite el paso de la corriente
eléctrica en un único sentido, a través de sus dos terminales (ánodo y cátodo).

Aunque existen diversos tipos de diodos, este término suele hacer referencia al diodo
semiconductor, el más extendido. Otro tipo serían los diodos termoiónicos, que basan
su funcionamiento en válvulas de vacío y la generación de electrones en un único
terminal mediante efecto joule, pero no profundizaremos en ellos.

Nos vamos a centrar en el estudio de los diodos de estado sólido (semiconductor), ya


que son el fundamento tecnológico detrás de la iluminación LED. Aun así, no
detallaremos en profundidad los fundamentos científicos de esta tecnología para que la
lectura sea más ligera.

Este tipo de diodos son, en su mayoría, una unión de dos materiales semiconductores
con características especiales (unión p-n). Uno de los materiales (tipo n) constituye una
región con carga negativa (electrones). El otro (tipo p) presenta carga positiva (huecos).

Figura N° 01: Diodo

Símbolo del diodo


El símbolo eléctrico del diodo es un triángulo equilátero con una línea que pasa por uno
de sus vértices en con la misma longitud y paralelo al lado opuesto. Su forma es similar
a una flecha.

Figura N° 02: Símbolo

Como curiosidad la dirección en la que apunta el símbolo es la contraria en la que se


desplaza la corriente.

2
Electrónica Analógica Y Digital

La unión de estas dos regiones es la que define el comportamiento del diodo. Además,
es en cada una de estas regiones es donde se conecta cada terminal del dispositivo.

Cómo funciona un diodo


Como acabamos de ver, la forma en que se construye este dispositivo es la que define
su funcionamiento. De manera general podemos decir que un diodo se comporta de dos
formas:
• Como un cortocircuito, permitiendo el paso de la electricidad (polarización directa).
• Como un circuito abierto, impidiendo el paso de corriente (polarización inversa).

Los diferentes tipos de diodos pueden presentar un comportamiento único según la


polarización aplicada lo que permite su uso en una gran variedad de aplicaciones como
veremos más adelante.

Tipos de diodos
Existen multitud de diodos diferentes que presentan características especiales en
función de su aspecto físico, materiales, impurezas, etc. Son diodos que se emplean en
aplicaciones muy específicas. Algunos de los más comunes y que comentaremos con
más detenimiento son: el diodo laser, el Gunn, el varicap y, por supuesto, el LED.

Aplicaciones y usos de los diodos


Como hemos visto, en función del tipo de diodo las aplicaciones son muy variadas,
utilizándose en iluminación, demoduladores de radio, protectores de sobretensión o
puertas lógicas (dispositivo electrónico esenciales en la construcción de microchips),
pero una de las más comunes es en las fuentes de alimentación donde actúan como
rectificadores de onda.

Puente rectificador de onda


Entre los puentes rectificadores más comunes se encuentran el de media onda y el de
onda completa. Un rectificador de media onda elimina la parte negativa de la corriente
alterna.

A su vez, un rectificador de onda completa convierte la parte negativa de la corriente en


positiva.

Figura N° 03: Puente rectificador

3
Electrónica Analógica Y Digital

Análisis Por Medio De La Recta De Carga


El circuito de la figura es la más sencilla de las configuraciones de diodo, y servirá para
describir el análisis de un circuito con un diodo empleando sus características reales.
En la siguiente sección reemplazaremos las características por un modelo aproximado
del diodo y compararemos las soluciones; la del circuito de la figura 4 se reduce a
determinar los niveles de corriente y voltaje que satisfagan, al mismo tiempo, tanto las
características del diodo como los parámetros seleccionados de la red.

Figura N° 04: Análisis de la recta

En la figura las características del diodo se colocan en el mismo sistema de ejes como
una línea recta definida por los parámetros de la red, la cual se llama recta de carga
porque la carga aplicada R define la intersección en el eje vertical. Por consiguiente, el
análisis a seguir se llama análisis por medio de la recta de carga. La intersección de las
dos curvas definirá la solución para la red, así como los niveles de corriente y voltaje.

Figura N° 05: Trazo de la recta

4
Electrónica Analógica Y Digital

Antes de revisar los detalles del trazo de la recta de carga en la gráfica de


características, tenemos que determinar la respuesta esperada del circuito sencillo de
la figura 3. Observe en esta figura que el efecto de la “presión” establecida por la fuente
de cd es establecer una corriente convencional en la dirección indicada por la flecha en
el sentido de las manecillas del reloj. El hecho de que la dirección de esta corriente sea
la misma que la de la flecha que aparece en el símbolo del diodo revela que éste está
“encendido” y que conducirá un alto nivel de corriente. En otras palabras, el voltaje
aplicado produjo una situación de polarización en directa. Con la dirección de la
corriente establecida, las polaridades del voltaje a través del diodo y el resistor se
pueden superponer. La polaridad de VD y la dirección de ID revelan con claridad que el
diodo sí se encuentra en estado de polarización en directa, lo que produce un voltaje a
través del diodo de aproximadamente 0.7V y una corriente de 10mA o más.

Las intersecciones de la recta de carga con las características de la figura 4 se


determinan aplicando primero la ley de voltajes de Kirchhoff en el sentido de las
manecillas del reloj, lo que da por resultado:

Las dos variables de la ecuación, VD e ID son las mismas que las del diodo que aparecen
en los ejes de la figura 4. Esta semejanza permite graficar la ecuación en las mismas
características de la figura 4. Las intersecciones de la recta de carga con las
características se determinan fácilmente sabiendo que en cualquier parte del eje
horizontal ID=0A, y que en cualquier parte del eje vertical VD=0V. Si establecemos que
VD=0V en la ecuación y resolvemos para ID, obtenemos la magnitud de ID en el eje
vertical. Por consiguiente, con VD=0V, la ecuación se vuelve

como se muestra en la figura 4. Si establecemos que ID=0A en la ecuación y resolvemos


para VD, obtenemos la magnitud de VD en el eje horizontal. Por consiguiente, con ID=0A,
la ecuación se vuelve

5
Electrónica Analógica Y Digital

como se muestra en la figura 4. Una línea recta trazada entre los dos puntos definirá la
recta de carga como se ilustra en la figura 4. Si cambia el nivel de R (la carga), la
intersección con el eje vertical también lo hará. El resultado será un cambio de la
pendiente de la recta de carga y un punto de intersección diferente entre ésta y las
características del dispositivo.

Ahora tenemos una recta de carga definida por la curva de la red y la curva de las
características definidas por el dispositivo. El punto de intersección entre las dos es el
punto de operación de este circuito. Basta trazar una línea hasta el eje horizontal para
que podamos determinar el voltaje del diodo , en tanto que una línea horizontal desde
el punto de intersección hasta el eje vertical proporcionará el nivel de I DQ . La corriente
ID es en realidad la que circula a través de toda la configuración en serie de la figura 3.
En general, el punto de operación se llama punto quiescente (abreviado “punto Q”) para
reflejar sus cualidades “fijas, inamovibles” como definidas por una red de cd.

La solución obtenida en la intersección de las dos curvas es la misma que se obtendría


por medio de una solución matemática simultánea de

Dado que la curva de un diodo tiene características no lineales, las matemáticas


implicadas requieren el uso de técnicas no lineales que no se abordaran aquí. El análisis
por medio de la recta de carga antes descrito permite obtener una solución con un
esfuerzo mínimo y una descripción “pictórica” de la razón por la cual se obtuvieron los
niveles de VDq y IDQ.

6
Electrónica Analógica Y Digital

Diodos rectificadores y recortadores.

Figura N° 06: Sentido de la corriente

Diodo rectificador. Sean sémico de mercurio, son tipos de diodo que constituyen el
elemento o circuito que permite convertir la corriente alterna en corriente continua.

Figura N° 07: Diodo rectificador

Características generales
El diodo rectificador es uno de los elementos de la familia de los diodos más sencillos.
El nombre diodo rectificador deriva de su aplicación, la cual reside en separar los ciclos
positivos de una señal de corriente alterna. Si se aplica al diodo una tensión de corriente
alterna durante los medios ciclos positivos, se polariza en forma directa; de esta manera,
permite el paso de la corriente eléctrica. Pero durante los medios ciclos negativos, el
diodo se polariza de manera inversa; con ello, evita el paso de la corriente en tal sentido.
Durante la fabricación de los diodos rectificadores, se consideran tres factores: la
frecuencia máxima en que realizan correctamente su función, la corriente máxima en
que pueden conducir en sentido directo y las tensiones directa e inversa máximas que
soportarán.

7
Electrónica Analógica Y Digital

Construcción de diodo rectificador


Su construcción está basada en la unión PN siendo su principal aplicación como
rectificadores. Este tipo de diodos (normalmente de silicio) soportan elevadas
temperaturas (hasta 200ºC en la unión), siendo su resistencia muy baja y la corriente
en tensión inversa muy pequeña. El diodo más antiguo y utilizado es el diodo rectificador
que conduce en un sentido, pero se opone a la circulación de corriente en el sentido
opuesto.

Aplicaciones de los diodos rectificadores


Una de las aplicaciones clásicas de los diodos rectificadores, es en las fuentes de
alimentación; aquí, convierten una señal de corriente alterna en otra de corriente directa.
Los diodos rectificadores se usan principalmente en: circuitos rectificadores, circuitos
fijadores, circuitos recortadores, diodos volantes. Los diodo Zener se usan en circuitos
recortadores, reguladores de voltaje, referencias de voltaje.

Diodos recortadores
Circuitos recortadores de onda. Tipos de circuitos que se encargan de recortar una
porción de una señal alternante. También puede ser la de limitar el valor máximo que
puede tomar una señal de referencia o bien una señal de control, en cuyo caso estos
circuitos son también reconocidos como circuitos limitadores.

Principio de funcionamiento
Estos tipos de circuitos utilizan dispositivos de una o más uniones PN como elementos
de conmutación. Se diseñan con el objetivo de recortar o eliminar una parte de la señal
que se le introduce en sus terminales de entrada y permita que pase el resto de la forma
de onda sin distorsión o con la menor distorsión posible. Para realizar esta función de
recortar, los recortadores hacen uso de la variación brusca que experimenta la
impedancia entre los terminales de los diodos y transistores al pasar de un estado a
otro, de ahí que sean los elementos básicos en dichos circuitos.

Tipos de recortadores
Recortadores de diodos:
Recortador de diodo paralelo:. se muestra el circuito y la forma de onda obtenida a la
salida del mismo. Como se observa la señal de entrada es una señal sinusoidal y el
circuito cuenta con una resistencia, un diodo en serie con una fuente polarizado en
inversa y una R de carga. Cuando el voltaje de la fuente se hace mayor que la suma del
voltaje de la fuente y el voltaje umbral de conducción del diodo, el diodo se polariza en
directa y obtenemos la forma de onda mostrada.

8
Electrónica Analógica Y Digital

Figura N° 08: Diodo recortador

Si invertimos el sentido del diodo podemos obtener formas de ondas como la mostrada
en esta figura. A este tipo de circuito se le llama recortador por debajo.

Figura N° 09: Forma de ondas

Recortador de diodo serie:


Al igual que podemos recortar una señal con los circuitos antes mencionados, en los
que el diodo se encontraba en la rama paralelo, también podemos obtener resultados
análogos si el diodo se encontrara en la rama serie. Si consideramos el circuito de la
figura IV., resulta evidente que en valores de voltajes de entrada mayores que (VR-V),
el diodo está polarizado a la inversa, por lo tanto, no permite que la señal a la entrada
pase a la salida, es decir, recorta la señal de entrada al valor (VR-V). Los voltajes VR y
V se restan porque VR está conectado con el terminal positivo hacia el ánodo, o sea,
favoreciendo la conducción. En valores de voltajes a la entrada menores que (VR-V) el
diodo conduce y a la salida se obtendrá la misma señal que a la entrada.

9
Electrónica Analógica Y Digital

Figura N° 10: Recortador de diodo serie

Rectificador monofásico de media onda


Rectificadores de media onda: Cuando sólo se utiliza uno de los semiciclos de la
corriente. Es el tipo más básico de rectificador es el rectificador monofásico de media
onda constituido por un único diodo entre la fuente de alimentación alterna y la carga.
De otra manera si recordamos el funcionamiento de un generador, sabremos que en
cada ciclo de funcionamiento se habrá producido una semionda positiva y otra negativa;
es decir, la corriente va tomando valores positivos y negativos a intervalos regulares, tal
como indica la imagen 9. Si quisiéramos que a una carga se le aplicara solamente la
parte positiva de la corriente, tal y como muestra la imagen 10, nos bastaría con colocar
un diodo en serie con nuestra fuente alterna.

Figura N° 11: Rectificador de media onda

Lo indicado más arriba queda mucho más claro con el esquema de la imagen 11.
Cuando el generador alterno comience a producir la onda senoidal, el diodo sólo

10
Electrónica Analógica Y Digital

permitirá que pase corriente a la carga R mientras éste sea polarizado directamente, es
decir, cuando al ánodo del diodo se le aplique una polaridad positiva. Durante el
semiperiodo negativo el diodo no conducirá, por lo que la carga no será alimentada, y
en ese caso habremos conseguido una corriente pulsante tal y como se indicó en la
imagen 10.

Fundamentos matemáticos:

Figura N° 12: Fundamento matemático

Recuerda que las ondas senoidales se representan por la función seno:

Y que teníamos los valores medio (Xm) y eficaz (X) en función del valor máximo a m

Partimos de la premisa de que un diodo es, teóricamente, un elemento lineal y que su


resistencia en polarización inversa es infinita. Esto, en rigor, no es exacto, pues cerca
del origen de coordenadas en un diagrama V-I el diodo no muestra esa linealidad de la
que antes hablábamos y en polarización inversa hay una pequeña corriente, casi
despreciable, que atraviesa el diodo.

Figura N° 13: Polarización

11
Electrónica Analógica Y Digital

Este es el circuito más simple que puede convertir corriente alterna en corriente
continua. Este rectificador lo podemos ver representado en la siguiente figura:

Figura N° 14: Circuito

Las gráficas que más nos interesan son:

Durante el semiciclo positivo de la tensión del


primario, el bobinado secundario tiene una media
onda positiva de tensión entre sus extremos. Este
aspecto supone que el diodo se encuentra en
polarización directa. Sin embargo durante el
semiciclo negativo de la tensión en el primario, el
arrollamiento secundario presenta una onda
sinusoidal negativa. Por tanto, el diodo se encuentra
polarizado en inversa.
La onda que más interesa es VL, que es la que
alimenta a RL. Pero es una tensión que no tiene
partes negativas, es una "Tensión Continua
Pulsante", y nosotros necesitamos una "Tensión
Continua Constante". Analizaremos las diferencias
de lo que tenemos con lo que queremos conseguir.

Figura N° 15: Ciclo

Lo que tenemos ahora es una onda periódica, y toda onda periódica se puede
descomponer en "Series de Fourier".

12
Electrónica Analógica Y Digital

Figura N° 16: Serie de Fourier

Lo ideal sería que solo tuviésemos la componente continua, esto es, solo la primera
componente de la onda que tenemos.
El valor medio de esa onda lo calcularíamos colocando un voltímetro en la RL, si lo
calculamos matemáticamente sería:

Y este sería el valor medio que marcaría el voltímetro. Como hemos visto tenemos que
eliminar las componentes alternas de las componentes de Fourier. En estos caso hemos
usaremos la 1ª aproximación o la 2ª aproximación.

Rectificador de onda completa


Un rectificador de onda completa es un circuito empleado para convertir una señal de
corriente alterna de entrada (Vi) en una señal de corriente pulsante de salida (Vo). A
diferencia del rectificador de media onda, en este caso, la parte negativa de la señal se
convierte en positiva o bien la parte positiva de la señal se convertirá en negativa, según
se necesite una señal positiva o negativa de corriente continua.

Existen dos alternativas, bien empleando dos diodos o empleando cuatro (puente de
Graetz).

13
Electrónica Analógica Y Digital

Rectificador con dos diodos


En el circuito de la figura, ambos diodos no pueden encontrarse simultáneamente en
directa o en inversa, ya que las diferencias de potencial a las que están sometidos son
de signo contrario; por tanto uno se encontrará polarizado inversamente y el otro
directamente. La tensión de entrada (Vi) es, en este caso, la media de la tensión del
secundario del transformador.

Figura N° 17: Rectificador de dos diodos

Tensión de entrada positiva


El diodo 1 se encuentra en polarización directa(conduce), mientras que el 2 se
encuentra en polarización inversa (no conduce). La tensión de salida es igual a la de
entrada. Nota: los diodos en posición directa conducen altas corrientes, en posición
inversa alta tensiones.

Figura N° 18: Tensión de entrada positiva

Tensión de entrada negativa


El diodo 2 se encuentra en polarización directa (conduce), mientras que el diodo 1 se
encuentra en polarización inversa (no conduce). La tensión de salida es igual a la de
entrada pero de signo contrario. El diodo 1 ha de soportar en inversa la tensión máxima
del secundario .

14
Electrónica Analógica Y Digital

Figura N° 19: Tensión de entrada negativa

Puente de Graetz o Puente Rectificador de doble onda


En este caso se emplean cuatro diodos con la disposición de la figura. Al igual que
antes, solo son posibles dos estados de conducción, o bien los diodos 1 y 3 están en
directa y conducen (tensión positiva) o por el contrario son los diodos 2 y 4 los que se
encuentran en directa y conducen (tensión negativa).

A diferencia del caso anterior, ahora la tensión máxima de salida es la del secundario
del transformador (el doble de la del caso anterior), la misma que han de soportar los
diodos en inversa, al igual que en el rectificador con dos diodos. Esta es la configuración
usualmente empleada para la obtención de onda continua , que se rectifica

Figura N° 20: Puente de Graetz

Tensión rectificada
Vo (tensión continua de salida) = Vi ( tensión alterna de entrada) = Vs/2 en el rectificador
con diodos.

15
Electrónica Analógica Y Digital

Vo = Vi = Vs en el rectificador con puente de Graetz.

Si consideramos la caída de tensión típica de los diodos de silicio en conducción,


aproximadamente 0,7V; tendremos que para el caso del rectificador de doble onda la
Vo = |Vi| - 1,4V.

Figura N° 21: Tensión rectificada

16
Electrónica Analógica Y Digital

TAREA N°02

ELECTRÓNICA ANALÓGICA
Implementar circuitos de aplicación con transistor

Transistores de unión bipolar


El transistor de unión bipolar (del inglés bipolar junction transistor, o sus siglas BJT) es
un dispositivo electrónico de estado sólido consistente en dos uniones PN muy cercanas
entre sí, que permite aumentar la corriente y disminuir el voltaje, además de controlar
el paso de la corriente a través de sus terminales. La denominación de bipolar se debe
a que la conducción tiene lugar gracias al desplazamiento de portadores de dos
polaridades (huecos positivos y electrones negativos), y son de gran utilidad en gran
número de aplicaciones; pero tienen ciertos inconvenientes, entre ellos su impedancia
de entrada bastante baja.

Los transistores bipolares son los transistores más conocidos y se usan generalmente
en electrónica analógica aunque también en algunas aplicaciones de electrónica digital,
como la tecnología TTL o BiCMOS.

Un transistor de unión bipolar está formado por dos Uniones PN en un solo cristal
semiconductor, separados por una región muy estrecha. De esta manera quedan
formadas tres regiones:
• Emisor, que se diferencia de las otras dos por estar fuertemente dopada,
comportándose como un metal. Su nombre se debe a que esta terminal funciona
como emisor de portadores de carga.
• Base, la intermedia, muy estrecha, que separa el emisor del colector.
• Colector, de extensión mucho mayor.

La técnica de fabricación más común es la deposición epitaxial. En su funcionamiento


normal, la unión base-emisor está polarizada en directa, mientras que la base-colector
en inversa. Los portadores de carga emitidos por el emisor atraviesan la base, porque
es muy angosta, hay poca recombinación de portadores, y la mayoría pasa al colector.
El transistor posee tres estados de operación: estado de corte, estado de saturación y
estado de actividad.

17
Electrónica Analógica Y Digital

Figura N° 22: Transistor bipolar

Estructura de un transistor de unión bipolar del tipo PNP.


Un transistor de unión bipolar consiste en tres regiones semiconductoras dopadas: la
región del emisor, la región de la base y la región del colector. Estas regiones son,
respectivamente, tipo P, tipo N y tipo P en un PNP; y tipo N, tipo P, y tipo N en un
transistor NPN. Cada región del semiconductor está conectada a un terminal,
denominado emisor (E), base (B) o colector (C), según corresponda.

Figura N° 23: Estructura de un transistor

Corte transversal simplificado de un transistor de unión bipolar NPN en el cual se


aprecia como la unión base-colector es mucho más amplia que la base-emisor.

La base está físicamente localizada entre el emisor y el colector y está compuesta de


material semiconductor ligeramente dopado y de alta resistividad. El colector rodea la
región del emisor, haciendo casi imposible para los electrones inyectados en la región

18
Electrónica Analógica Y Digital

de la base escapar de ser colectados, lo que hace que el valor resultante de α se


acerque mucho hacia la unidad, y por eso, otorgarle al transistor una gran β.

El transistor de unión bipolar, a diferencia de otros transistores, no es usualmente un


dispositivo simétrico. Esto significa que intercambiando el colector y el emisor hacen
que el transistor deje de funcionar en modo activo y comience a funcionar en modo
inverso. Debido a que la estructura interna del transistor está usualmente optimizada
para funcionar en modo activo, intercambiar el colector con el emisor hacen que los
valores de α y β en modo inverso sean mucho más pequeños que los que se podrían
obtener en modo activo; muchas veces el valor de α en modo inverso es menor a 0.5.

La falta de simetría es principalmente debido a las tasas de dopaje entre el emisor y el


colector. El emisor está altamente dopado, mientras que el colector está ligeramente
dopado, permitiendo que pueda ser aplicada una gran tensión de reversa en la unión
colector-base antes de que esta colapse. La unión colector-base está polarizada en
inversa durante la operación normal. La razón por la cual el emisor está altamente
dopado es para aumentar la eficiencia de inyección de portadores del emisor: la tasa de
portadores inyectados por el emisor en relación con aquellos inyectados por la base.
Para una gran ganancia de corriente, la mayoría de los portadores inyectados en la
unión base-emisor deben provenir del emisor.

El bajo desempeño de los transistores bipolares laterales muchas veces utilizados en


procesos CMOS es debido a que son diseñados simétricamente, lo que significa que no
hay diferencia alguna entre la operación en modo activo y modo inverso.

Pequeños cambios en la tensión aplicada entre los terminales base-emisor genera que
la corriente que circula entre el emisor y el colector cambie significativamente. Este
efecto puede ser utilizado para amplificar la tensión o corriente de entrada. Los BJT
pueden ser pensados como fuentes de corriente controladas por tensión, pero son
caracterizados más simplemente como fuentes de corriente controladas por corriente,
o por amplificadores de corriente, debido a la baja impedancia de la base.

Los primeros transistores fueron fabricados de germanio, pero la mayoría de los BJT
modernos están compuestos de silicio. Actualmente, una pequeña parte de éstos (los
transistores bipolares de hetero juntura) están hechos de arseniuro de galio,
especialmente utilizados en aplicaciones de alta velocidad.

19
Electrónica Analógica Y Digital

Figura N° 24: Transistor muestra

Principio de Funcionamiento
Característica idealizada de un transistor bipolar.
En una configuración normal, la unión base-emisor se polariza en directa y la unión
base-colector en inversa.6 Debido a la agitación térmica los portadores de carga del
emisor pueden atravesar la barrera de potencial emisor-base y llegar al colector. A su
vez, prácticamente todos los portadores que llegaron son impulsados por el campo
eléctrico que existe entre la base y el colector.

Un transistor NPN puede ser considerado como dos diodos con la región del ánodo
compartida. En una operación típica, la unión base-emisor está polarizada en directa y
la unión base-colector está polarizada en inversa. En un transistor NPN, por ejemplo,
cuando una tensión positiva es aplicada en la unión base-emisor, el equilibrio entre los
portadores generados térmicamente y el campo eléctrico repelente de la región agotada
se desbalancea, permitiendo a los electrones excitados térmicamente inyectarse en la
región de la base. Estos electrones "vagan" a través de la base, desde la región de alta
concentración cercana al emisor hasta la región de baja concentración cercana al
colector. Estos electrones en la base son llamados portadores minoritarios debido a que
la base está dopada con material P, los cuales generan "huecos" como portadores
mayoritarios en la base.

La región de la base en un transistor debe ser constructivamente delgada, para que los
portadores puedan difundirse a través de esta en mucho menos tiempo que la vida útil
del portador minoritario del semiconductor, para minimizar el porcentaje de portadores

20
Electrónica Analógica Y Digital

que se recombinan antes de alcanzar la unión base-colector. El espesor de la base


debe ser menor al ancho de difusión de los electrones.

Control de tensión, carga y corriente


La corriente colector-emisor puede ser vista como controlada por la corriente base-
emisor (control de corriente), o por la tensión base-emisor (control de voltaje). Esto es
debido a la relación tensión-corriente de la unión base-emisor, la cual es la curva
tensión-corriente exponencial usual de una unión PN (es decir, un diodo).

En el diseño de circuitos analógicos, el control de corriente es utilizado debido a que es


aproximadamente lineal. Esto significa que la corriente de colector es aproximadamente
β veces la corriente de la base. Algunos circuitos pueden ser diseñados asumiendo que
la tensión base-emisor es aproximadamente constante, y que la corriente de colector
es β veces la corriente de la base. No obstante, para diseñar circuitos utilizando BJT
con precisión y confiabilidad, se requiere el uso de modelos matemáticos del transistor
como el modelo Ebers-Moll.

Parámetros Alfa y Beta del transistor de unión bipolar


Una forma de medir la eficiencia del BJT, en el transistor tipo NPN, es a través de la
proporción de electrones capaces de cruzar la base y alcanzar el colector. El alto dopaje
de la región del emisor y el bajo dopaje de la región de la base pueden causar que
muchos más electrones sean inyectados desde el emisor hacia la base que huecos
desde la base hacia el emisor. La ganancia de corriente emisor común está
representada por . Esto es aproximadamente la tasa de corriente continua de
colector en relación con la corriente continua de la base en la región activa directa y es
típicamente mayor a 100. Otro parámetro importante es la ganancia de corriente base
común, . La ganancia de corriente base común es aproximadamente la ganancia de
corriente desde emisor a colector en la región activa directa. Esta tasa usualmente tiene
un valor cercano a la unidad; que oscila entre 0.98 y 0.998. En el caso del transistor
PNP ocurre lo mismo pero sustituyendo huecos por electrones, ya que la corriente
electrónica en el transistor tipo PNP va de colector a emisor. El Alfa y Beta están más
precisamente determinados por las siguientes relaciones:

21
Electrónica Analógica Y Digital

Tipos de Transistor de Unión Bipolar


Transistores NPN
NPN es uno de los dos tipos de transistores bipolares, en los cuales las letras "N" y "P"
se refieren a los portadores de carga mayoritarios dentro de las diferentes regiones del
transistor. La mayoría de los transistores bipolares usados hoy en día son NPN, debido
a que la movilidad del electrón es mayor que la movilidad de los "huecos" en los
semiconductores, permitiendo mayores corrientes y velocidades de operación.

Los transistores NPN consisten en una capa de material semiconductor dopado P (la
"base") entre dos capas de material dopado N. Una pequeña corriente ingresando a la
base en configuración emisor-común es amplificada en la salida del colector.

La flecha en el símbolo del transistor NPN está en la terminal del emisor y apunta en la
dirección en la que la corriente convencional circula cuando el dispositivo está en
funcionamiento activo.

Ejemplo práctico de uso de un transistor bipolar NPN


En el ejemplo particular mostrado en la imagen, hay un transistor del tipo NPN cuyo
punto funcionamiento Q (de la expresión inglesa Quiescent Point, punto estático) en
corriente continua es desconocido. Hallar el punto Q consiste en calcular la corriente
que atraviesa el colector del dispositivo (IC) y la tensión colector-emisor (VCE).

Figura N° 25: Ejemplo de uso

22
Electrónica Analógica Y Digital

La corriente que atraviesa la base (IB), con referencia al diagrama se calcula así:

Reemplazando los datos:

Seguidamente, se calcula la corriente de colector, recordando que su valor es igual a la


corriente de la base, multiplicada por el parámetro β:

Finalmente, se halla el valor de la tensión Colector-Emisor:

Transistores PNP
El otro tipo de transistor de unión bipolar es el PNP con las letras "P" y "N" refiriéndose
a las cargas mayoritarias dentro de las diferentes regiones del transistor. Pocos
transistores usados hoy en día son PNP, debido a que el NPN brinda mucho mejor
desempeño en la mayoría de las circunstancias.

Los transistores PNP consisten en una capa de material semiconductor dopado N entre
dos capas de material dopado P. Los transistores PNP son comúnmente operados con
el colector a masa y el emisor conectado al terminal positivo de la fuente de alimentación
a través de una carga eléctrica externa. Una pequeña corriente circulando desde la base
permite que una corriente mucho mayor circule desde el emisor hacia el colector.

La flecha en el transistor PNP está en el terminal del emisor y apunta en la dirección en


la que la corriente convencional circula cuando el dispositivo está en funcionamiento
activo.

Regiones operativas del transistor


Los transistores de unión bipolar tienen diferentes regiones operativas, definidas
principalmente por la forma en que son polarizados:

Región activa directa en cuanto a la polaridad:


corriente del emisor = (β + 1)·Ib ; corriente del colector= β·Ib

23
Electrónica Analógica Y Digital

Cuando un transistor no está ni en su región de saturación ni en la región de corte


entonces está en una región intermedia, la región activa. En esta región la corriente de
colector (Ic) depende principalmente de la corriente de base (Ib), de β (ganancia de
corriente, es un dato del fabricante) y de las resistencias que se encuentren conectadas
en el colector y emisor. Esta región es la más importante si lo que se desea es utilizar
el transistor como un amplificador de señal.

Región inversa:
Al invertir las condiciones de polaridad del funcionamiento en modo activo, el transistor
bipolar entra en funcionamiento en modo inverso. En este modo, las regiones del
colector y emisor intercambian roles. Debido a que la mayoría de los BJT son diseñados
para maximizar la ganancia de corriente en modo activo, el parámetro beta en modo
inverso es drásticamente menor al presente en modo activo.
Región de corte: Un transistor está en corte cuando:
corriente de colector = corriente de emisor = 0, (Ic = Ie = 0)

En este caso el voltaje entre el colector y el emisor del transistor es el voltaje de


alimentación del circuito. (como no hay corriente circulando, no hay caída de voltaje, ver
Ley de Ohm). Este caso normalmente se presenta cuando la corriente de base = 0 (Ib
=0)
De forma simplificada, se puede decir que el la unión CE se comporta como un circuito
abierto, ya que la corriente que lo atraviesa es cero.
Región de saturación: Un transistor está saturado cuando:
corriente de colector ≈ corriente de emisor = corriente máxima, (Ic ≈ Ie = Imáx)

En este caso la magnitud de la corriente depende del voltaje de alimentación del circuito
y de las resistencias conectadas en el colector o el emisor o en ambos, ver Ley de Ohm.
Se presenta cuando la diferencia de potencial entre el colector y el emisor desciende
por debajo del valor umbral VCE, sat. Cuando el transistor esta en saturación, la relación
lineal de amplificación es Ic=β·Ib (y por ende, la relación Ie=(β+1)·Ib ) no se cumple.
De forma simplificada, se puede decir que la unión CE se comporta como un cable, ya
que la diferencia de potencial entre C y E es muy próxima a cero.
Como se puede ver, la región activa es útil para la electrónica analógica (especialmente
útil para amplificación de señal) y las regiones de corte y saturación, para la electrónica
digital, representando el estado lógico alto y bajo, respectivamente.

Teoría y Modelos Matemáticos


Análisis en continua
El modelo Ebers-Moll
Las corrientes continuas en el emisor y el colector en operación normal son
determinadas por:

24
Electrónica Analógica Y Digital

La corriente interna de base es principalmente por difusión y

Donde:
• es la corriente de emisor.
• es la corriente de colector.
• es la ganancia de corriente directa en configuración base común. (de 0.98 a
0.998)
• es la corriente de saturación inversa del diodo base-emisor (en el orden de 10−15
a 10−12 amperios)
• es el voltaje térmico
• es el voltaje térmico (aproximadamente 26 mV a temperatura ambiente ≈
300 K).
• es la tensión base emisor.
• W es el ancho de la base.

La corriente de colector es ligeramente menor a la corriente de emisor, debido a que el


valor de αT es muy cercano a 1,0. En el transistor de unión bipolar una pequeña
variación de la corriente base-emisor genera un gran cambio en la corriente colector-
emisor. La relación entre la corriente colector-emisor con la base-emisor es llamada
ganancia, β o hFE. Un valor de β de 100 es típico para pequeños transistores bipolares.
En una configuración típica, una señal de corriente muy débil circula a través de la unión
base-emisor para controlar la corriente entre emisor-colector. β está relacionada con α
a través de las siguientes relaciones:

25
Electrónica Analógica Y Digital

Eficiencia del emisor:

Otras ecuaciones son usadas para describir las tres corrientes en cualquier región del
transistor están expresadas más abajo. Estas ecuaciones están basadas en el modelo
de transporte de un transistor de unión bipolar.

Dónde:

Polarizando el transistor bipolar (BJT)


La polarización de un transistor: consiste en fijar el punto de trabajo Q en ausencia de
señal de entrada, el cual puede estar en la zona activa, saturación o corte.

Con el sistema de polarización elegido, también se pretende que dicho punto de


funcionamiento Q sea estable con la temperatura, es decir, que no varíen los
parámetros fundamentales de la polarización. Pues debido al aumento de temperatura
aumenta la intensidad inversa de fuga de las unión de base, y con ella, la IC y la IE; lo
que produce, a su vez, más aumento de temperatura. Y así se crea un círculo vicioso
que puede llevar al transistor fuera del punto de funcionamiento establecido,
produciendo una señal amplificada deforme a la salida.

26
Electrónica Analógica Y Digital

Desde luego, el desplazamiento del punto de trabajo Q ha de controlarse si queremos


que funcione el transistor a pesar de variaciones de temperatura. Para asegurar una
mínima variación del punto de trabajo lo que se hace es introducir una realimentación
negativa desde la salida a la entrada, es decir, se utiliza parte de la señal de salida,
normalmente en el colector, para reintroducirla en la entrada, normalmente la base, de
modo que "frene" la tendencia a amplificar, la ganancia, del transistor. Con ello evitamos
que el transistor se "avalance" con la subida de temperatura, pero lamentablemente,
por el propio concepto de realimentación negativa (recordamos que la intensidad de
colector está desfasada 180º respecto de la intensidad de base), se reducirá el nivel de
amplificación con el que el transistor va a operar, es decir: el circuito limitará la ganancia
del transistor a un valor que permita mejorar la estabilidad del propio circuito. Cuanto
más realimentación negativa, menos amplificará el circuito pero mayor será la
estabilidad; también mejora el Ancho de Banda, pero eso es otro asunto.

Figura N° 26: Desplazamiento de punto de trabajo

¿Qué es la ganancia de corriente de un transistor?


Si se compara un transistor con una caja de cambios, la ganancia de corriente (hfe) es
como la razón de radios entre engranajes.

Un hfe de 10, por ejemplo, significa que el colector puede conducir 10 veces la corriente
que se inyecte en la base.

Tal como los engranajes se pueden conectar en serie para multiplicar fuerzas, los
transistores también se pueden conectar en cascada (conexión Darlington): el colector

27
Electrónica Analógica Y Digital

o emisor de un transistor A puede alimentar la base de otro transistor B, y la ganancia


total es el producto hfe_A x hfe_B.

Figura N° 27: Ganancia de Transistor bipolar

Figura N° 28: Paso de Darlington

Relaciones corrientes de colector a emisor y a base.


Un transistor es un dispositivo electrónico de tres terminales utilizado para generar una
señal de salida como respuesta a una señal de entrada.

Esto ha sonado un poco a charlatán de crecepelo y puede ser una definición de una
caja negra donde hay una salida que depende de una entrada. Dice mucho pero a la
vez no dice nada así que voy a concretar.

De los tres terminales que posee un transistor:


• Uno es la entrada de corriente.
• Otro es la salida de corriente.
• Y el tercero es el terminal de control.

28
Electrónica Analógica Y Digital

De modo general: al aplicar una pequeña señal en el terminal de control (base) es


posible manipular una corriente considerable que fluye desde la entrada a la salida.

Un grifo de agua es un ejemplo típico para comprender el funcionamiento de un


transistor.

Figura N° 29: Analogía de transistor

En este caso la entrada y salida de agua serían los pines de entrada y salida de
corriente; mientras la válvula permite controlar el flujo del agua de la misma forma que
el terminal de control puede controlar la corriente.

Entre las funciones más comunes de los transistores se encuentran las de amplificador,
oscilador, conmutador y rectificador.

En este artículo me voy a centrar en las características del transistor cuando funciona
como conmutador. Gracias a esta función, un transistor puede controlar grandes cargas
utilizando una placa de Arduino por ejemplo.

Figura N° 30: Transistor

29
Electrónica Analógica Y Digital

En la imagen de arriba te muestro varios ejemplos de transistores. Como puedes ver


los encapsulados son variados, esto se debe principalmente a la potencia que sean
capaces de manejar.

El tipo transistor del que voy a hablar en este artículo es el transistor bipolar también
conocido como transistor BJT (son las siglas en inglés Bipolar Junction Transistor).

La denominación de bipolar es debido a que la conducción tiene lugar gracias al


desplazamiento de portadores de dos polaridades, los huecos con polaridad positiva y
los electrones con polaridad negativa.

Principio de funcionamiento del transistor bipolar o transistor BJT


La estructura interna de un transistor bipolar es realmente compleja, por lo tanto, en
lugar de darte toda una charla teórica voy a ser prácticos.

Me voy a centrar en lo básico para que comprendas su funcionamiento y seas capaz de


utilizar un transistor como interruptor, pero sin grandes complicaciones.

De todos modos, si eres de los más curiosos y quieres ampliar tus conocimientos al
respecto, aquí te dejo un vídeo donde se explica y muestra en detalle el funcionamiento
de los transistores de unión bipolar.

Un transistor cuenta con 3 terminales. En el caso del transistor BJT estos terminales
son: emisor, colector y base.

Existen dos tipos de transistores bipolares: transistor NPN y transistor PNP. Ambos son
similares, aunque no exactamente iguales.

Figura N° 31: Transistor NPN PNP

30
Electrónica Analógica Y Digital

Las funciones de los pines son las siguientes:


• Emisor: salida de corriente (entrada de corriente en PNP)
• Colector: entrada de corriente (salida de corriente en PNP)
• Base: pin de control

En ambos casos (transistor PNP y transistor NPN) el pin base es utilizado para controlar
la corriente que fluye entre emisor y colector. De momento lo único con lo que quiero
que te quedes es que hay dos tipos de transistores bipolares: transistor NPN y transistor
PNP.

No te preocupes si ahora mismo no se te ocurre ningún caso donde se puede utilizar


un transistor NPN como conmutador, muy pronto veremos un ejemplo.

Transistor NPN: modos de operación


Los transistores bipolares tienen tres modos de operación bien definidos:
• Corte: en este modo no fluye corriente entre el emisor y el colector. Por lo tanto, se
considera que estos terminales están desconectados entre sí. (Este estado es
equivalente al de un interruptor abierto).
• Activo: en este modo la intensidad de la corriente entre el colector y el emisor es
proporcional a la señal de control aplicada a la base.
• Saturación: en este modo entre los terminales colector y emisor fluye la máxima
corriente posible. Por eso se considera como si los terminales de colector y emisor
estuvieran cortocircuitados.

El modo activo es utilizado, principalmente, para amplificar señales analógicas. El caso


más conocido es el de los amplificadores de audio (aunque no es el único).

Si bien es un tema muy interesante, en este artículo no hablaré del modo activo de un
transistor bipolar.

Los modos de corte y saturación imitan el comportamiento de un interruptor mecánico


(como el que utilizas para encender y apagar la luz de una habitación). Es por esto que
estos modos son empleados usualmente para utilizar el transistor como un interruptor
controlado eléctricamente.

El modo de operación del transistor BJT es determinado por la corriente que se aplica
a la base. Esa es la razón por la cual es clasificado como un dispositivo controlado por
corriente.

Para comprender el funcionamiento del transistor bipolar te propongo estudiar una


analogía hidráulica que expresa a la perfección su operación.

31
Electrónica Analógica Y Digital

En las siguientes figuras se muestran dos canales relacionados de forma tal que el canal
más débil (lateral) controla el caudal del canal principal. En una situación como esta se
presentan 3 casos:
• Si el canal lateral no recibe agua, el canal principal no se abre. (Este es el modo de
corte)
• En caso de que se aplique un caudal de agua moderado al canal lateral, la
compuerta principal se abriría un poco provocando un flujo de agua mayor. (Este es
el modo activo)
• Si se aumenta aún más el caudal del canal lateral, la compuerta principal se abrirá
totalmente permitiendo pasar toda el agua posible. Es importante notar que cuando
se alcanza este punto, aunque el caudal del canal lateral aumente el principal
seguirá ofreciendo la misma cantidad de agua. (Este es el modo de saturación)
• Ese es precisamente el comportamiento de un transistor bipolar o transistor BJT,
donde la entrada pequeña es la base, la entrada grande es el colector y la salida es
el emisor.

32
Electrónica Analógica Y Digital

TAREA N°03

ELECTRÓNICA ANALÓGICA
Implementar circuitos de aplicación con transistor como amplificadores.

El Transistor como amplificador


El transistor como amplificador: ¿cómo funciona? El transistor es un dispositivo
semiconductor utilizado en circuitos electrónicos para realizar funciones de
conmutación, regulación y amplificación. Muchas veces también se conoce como un
elemento electrónico activo. Estos transistores pueden ser utilizados para realizar
diversas funciones en sistemas electrónicos basados en potencia. Una de los usos más
comunes de los transistores es como amplificador, que sirve para aumentar la señal
eléctrica de entrada a un nivel mayor.

¿Qué es un amplificador transistor? El amplificador transistor es un circuito


electrónico que aumenta (amplifica) la señal eléctrica de entrada. Esto significa que el
amplificador toma la señal de entrada y la aumenta, generalmente para lograr una
mayor potencia. Los transistores se usan en estos amplificadores por su capacidad de
controlar un flujo de corriente o electricidad de un circuito a otro.

Cómo funciona un amplificador transistor Un amplificador transistor consta de una base,


un colector y un emisor conectados entre sí. La señal de entrada se conecta a la base
del transistor, lo que hace que el transistor se active y permita el paso de electricidad a
través de él. Esto provoca que la señal en el colector salga con mayor intensidad que
la señal de entrada, lo que resulta en una señal eléctrica amplificada.

La forma en la que el amplificador funciona depende de cómo se configure el transistor.


Por ejemplo, si el transistor está conectado en configuración NPN, entonces el transistor
se encenderá cuando se aplique un voltaje a la base. Esto permitirá el paso de corriente
desde el emisor al colector, aumentando así la señal de entrada.

Los amplificadores transistores son circuitos sencillos que sirven para amplificar una
señal eléctrica de entrada. Pueden ser configurados de varias formas diferentes para
obtener diferentes resultados. Estos amplificadores se usan en una gran variedad de
aplicaciones, desde generar sonidos claros para sistemas de audio hasta ajustar un
voltaje para circuitos integrados.

Cómo Funciona Un Transistor | Experimentos Para Comprender

33
Electrónica Analógica Y Digital

Figura N° 32: Funcionamiento de un transistor

¿Qué es un transistor y cómo se diferencia de otros dispositivos electrónicos?


Un transistor es un dispositivo semiconductor usado como un interruptor y/o
amplificador. Se diferencia de otros dispositivos electrónicos en su capacidad para
controlar grandes cantidades de electricidad con una corriente muchísimo más
pequeña. Esto también explica por qué es un elemento tan importante en los circuitos
electrónicos, pues hace posible el control de la potencia de entrada con la potencia de
salida.

Otra forma en la que se diferencia de los demás dispositivos electrónicos es que el


transistor toma la corriente de entrada y la amplifica o reduce para producir una corriente
de salida. Esta habilidad para amplificar una señal es una de las características
principales del transistor.

Además, los transistores son muy útiles para controlar el flujo de electricidad, lo que
significa que se pueden usar para apagar o encender circuitos y actuar como
interruptores, esto puede resultar útil para diversos propósitos en aparatos electrónicos
y juguetes.

Otras grandes diferencias entre el transistor y otros dispositivos electrónicos son:


• El transistor puede ser utilizado para operar a velocidad sincrónica, lo cual significa
que puede cambiar entre dos estados a la vez.
• Es muy eficaz en el consumo de energía y no se desgasta con el tiempo.
• No ocupa mucho espacio en un diseño de circuito, pero es capaz de hacer un gran
trabajo.

Como puedes ver, los transistores son un componente muy útil en los circuitos
electrónicos. Aunque algunas de sus funciones se puedan simular con otros dispositivos

34
Electrónica Analógica Y Digital

electrónicos, como resistencias y diodos, ninguno de ellos posee la amplia gama de


características y versatilidad que poseen los transistores.

¿En qué aplicaciones se utiliza el transistor como amplificador?


El transistor es un amplificador muy versátil que se usa en numerosas aplicaciones. Se
utiliza principalmente para controlar electricidad y análisis de audio. Sus principales
ventajas son su bajo consumo de energía, su tamaño compacto y su estructura sencilla.
Es una herramienta ideal para proyectos de radio aficionados y placas de circuito
impreso pequeñas.

Aplicaciones comunes para el transistor como amplificador:


• Sistemas de sonido para el hogar
• Jabones de radio
• Equipos de prueba de audio
• Amplificadores de audio profesionales
• Amplificadores de caja musical portátiles
• Amplificadores de televisión
• Circuitos integrados para el control de motores

Algunos transistores también se pueden usar como interruptores para controlar la


potencia en determinados dispositivos eléctricos, como los aparatos electrodomésticos.
Algunos de los tipos de transistores que se usan como amplificadores incluyen BJT
(bipolar junction transistors) y MOSFET (Metal-Oxide Semiconductor Field-Effect
Transistors). Estos transistores son ideales para ofrecer un gran rango de ganancias sin
generar distorsión de señal. Además, cuentan con un bajo nivel de ruido que los hace
perfectos para aplicaciones de audio.

El transistor es un amplificador muy versátil utilizado en muchas aplicaciones. Se puede


utilizar para controlar la electricidad, para proyectos de radio aficionados y para
amplificar audio. También se utiliza como interruptor para controlar la potencia en
dispositivos eléctricos. Esta herramienta ofrece beneficios tales como un bajo consumo
de energía, un diseño compacto, una estructura sencilla y un bajo nivel de ruido.

¿Cuál es el principio de funcionamiento del transistor como amplificador?


El transistor como amplificador funciona como un interruptor, controlando el paso de
corriente a través de él. Esta característica le permite variar el tamaño del flujo en la
corriente para controlar la señal. El conocimiento sobre cómo funciona un transistor
como amplificador se basa en los principios de la teoría de Electrónica de Estado Sólido
(ESS).

Los transistores son dispositivos semiconductores. Estos dispositivos consisten en dos


o más capas de materiales semiconductores; estas capas están conectadas entre sí a
través de un contacto. El material semiconductor se comporta como un aislante cuando

35
Electrónica Analógica Y Digital

no hay un potencial eléctrico, pero es convencionalmente conducible cuando se aplica


una tensión eléctrica.

En un transistor como amplificador, la primera capa de semiconductor se conoce como


la región base, la segunda capa como emisor y la tercera capa se denomina colector.
La función del emisor es controlar la cantidad de corriente que pasa a través del colector.
En la región base se aplica una señal de entrada pequeña para controlar la cantidad de
corriente que fluye entre el emisor y el colector.

Cuando se aplica una señal de entrada, una parte de la señal de entrada induce una
pequeña corriente en la región base. Esta corriente es suficiente para invertir el
potencial eléctrico en la región de emisor, lo que lleva a que el emisor deje de ser un
aislante. Esto permite que una cantidad mayor de corriente fluya desde el emisor al
colector, lo que resulta en una señal mucho mayor que la señal de entrada.

¿Qué tipos de transistores existen y cuáles son sus usos principales?


Hola, quisiera ayudarte a entender los tipos de transistores que existen y sus usos
principales. Estas son las principales categorías y usos:
• Transistores Bipolar ( BJT): Estos transistores están compuestos por dos zonas
semiconductoras, se utilizan ampliamente en circuitos electrónicos para la
amplificación de corriente. Se emplean en dispositivos como amplificadores,
mezcladores, sintonizadores de radio, entre otros.
• Transistores de Efecto Campo (FET): Estos transistores están compuestos por un
único semiconductor, se usan principalmente para controlar señales de bajo nivel.
Entre sus usos más frecuentes podemos encontrar circuitos de filtrado, amplificación
de señal, conexiones de antena, etc.
• Transistores Unión Metal Oxígeno Semiconductor (MOSFET): Estos transistores
están formados por mecanismos de conducción a través de oxígeno en la unión
entre metal y semiconductor, suelen usarse para una amplia variedad de
aplicaciones como reguladores de voltaje y corriente, conmutadores eléctricos,
conmutadores digitales, entre otros.
• Transistores Juntura En espiral o JFET: Estos transistores están compuestos por
una junta de metal que se enrolla alrededor de un cuerpo semiconductor, se emplean
en circuitos de alimentación, convertidores de potencia, filtros, conmutadores, entre
otros.

¿Cuáles son las ventajas y desventajas de utilizar un transistor como


amplificador?
Las ventajas y desventajas de utilizar un transistor como amplificador son muy variables
dependiendo del dispositivo en concreto, sin embargo, hablaremos de los aspectos
generales de este tipo de amplificadores.

36
Electrónica Analógica Y Digital

Ventajas
• Pequeño tamaño: Los transistores permiten un diseño compacto, sin necesidad de
usar mucho espacio para su instalación.
• Bajo consumo eléctrico: Esto significa que el consumo de energía es menor al de
otros dispositivos.
• Conservación del sonido: La expansión del sonido no se pierde, pudiendo conseguir
un mejor resultado auditivo.
• Señal de salida mayor a la de entrada: Algunos transistores tienen la capacidad de
producir excelentes resultados de amplificación.

Desventajas
Sensibilidad a la corriente: Los transistores presentan una elevada sensibilidad a la
corriente, por lo que su calidad no será tan buena si hay algún defecto de este tipo.
Dificultad de diseño: El diseño de este tipo de amplificadores puede ser complicado para
quienes no estén familiarizados con ellos.
No es el mejor rendimiento para bajas frecuencias: Las bajas frecuencias pueden ser
difíciles de amplificar con transistores.
En general, los transistores ofrecen un diseño compacto, bajo consumo eléctrico y
conservan la calidad de la señal a la salida con una ganancia mayor a la de la entrada.
Sin embargo, presentan algunas desventajas como su alto grado de sensibilidad a la
corriente, complejidad de diseño y no ofrecer los mejores resultados para bajar
frecuencias.

¿Qué factores influyen en la selección de un transistor como amplificador?


Sí necesitas seleccionar un transistor para ser utilizado como amplificador, hay varios
factores que debes tener en cuenta. Primero, la ganancia de frecuencia (respuesta
"máxima" del amplificador), que es la relación entre la magnitud de voltaje de salida
versus la magnitud de voltaje de entrada, dependiendo de la frecuencia. Esta
característica no se puede especificar como un número único, sino que es una línea en
el gráfico que se grafica a medida que varía la frecuencia. También puedes considerar
la ganancia de corriente, que es la relación entre la magnitud de corriente de salida y la
magnitud de corriente de entrada.

Otro factor importante es el ancho de banda del transistor, que es la frecuencia máxima
a la cual un transistor puede amplificar satisfactoriamente la señal de audio. Por lo
general, cuanto más ancho de banda tenga el transistor, mejor calidad de amplificación
de audio tendrá. También hay variaciones en el tipo de transistor, los cuales son: NPN,
PNP, JFET, MOSFET, etc. El transistor más comúnmente usado como amplificador es
el NPN, pero cualquier transistor puede ser utilizado dependiendo del requerimiento.

Además de esto, también hay otras consideraciones como la potencia de salida,


velocidad de conmutación, distorsión, relación S/R, separación de canales, consumo de

37
Electrónica Analógica Y Digital

energía, etc. Estas características no solo dependen del transistor, sino también de la
red de configuración de polarización.

En definitiva, seleccionar un transistor como amplificador involucra una variedad de


factores que deben ser considerados. Estos incluyen:
• Ganancia de frecuencia
• Ganancia de corriente
• Ancho de banda
• Tipo de transistor
• Potencia de salida
• Velocidad de conmutación
• Distorsión
• Relación S/R
• Separación de canales
• Consumo de energía

¿Existen herramientas adecuadas para el diseño de circuitos que utilicen


transistores como amplificadores?
Sí, existen herramientas adecuadas para el diseño de circuitos que utilicen transistores
como amplificadores. Estas herramientas permiten diseñar circuitos de manera
eficiente, evitando errores en el proceso creativo del diseño. Estas herramientas se
basan principalmente en un entorno de simulación por ordenador, en donde los
parámetros del circuito son aplicados y evaluados para obtener buenos resultados en
la creación del mismo.

Entre las herramientas existentes se encuentran:


• Software de simulación de circuitos: Permite simular el comportamiento de un
circuito antes de construirlo realmente, lo cual permite ahorrar tiempo y costes
durante su diseño.
• Software de diseño de circuitos: Utilizado para diseñar los circuitos y calcular sus
parámetros. Esta herramienta es fundamental en el proceso de diseño del circuito.
• Herramientas de optimización de circuitos: Estas herramientas ayudan a optimizar
los circuitos de manera que se obtengan los mejores resultados en términos de
rendimiento, seguridad y fiabilidad.
• Software de análisis de circuitos: Utilizado para analizar los circuitos, detectar
errores y comprobar que los parámetros están correctamente configurados.

Además, también hay una variedad de librerías de componentes, tales como


transistores, resistencias, condensadores, etc., disponibles en línea para facilitar el
diseño de circuitos con transistores. Estas librerías contienen una gran cantidad de
datos sobre los parámetros de los componentes, que pueden ser útiles para diseñar un
circuito con precisión.

38
Electrónica Analógica Y Digital

Hay varias herramientas de diseño de circuitos adecuadas para el uso de transistores


como amplificadores. Estas herramientas ofrecen una forma eficiente de diseñar
circuitos y garantizar su éxito.

El transistor es una herramienta utilizada como amplificador gracias a su capacidad para


controlar la corriente eléctrica. Funciona mediante un campo magnético que varía su
resistencia dentro de un circuito, permitiendo que los voltajes se mantengan regulares
y así fortalecer la intensidad de la corriente en relación con la entrada. Por lo tanto, esta
herramienta resulta fundamental para la realización de diversas tareas en el ámbito de
la electrónica.

Regiones de corte y saturación.


Los transistores dependen de los semiconductores para poder funcionar. Un
semiconductor es un material que no es un conductor puro (como lo es el cobre) pero
tampoco es un aislante (como lo es el aire). La conductividad de un semiconductor (o
la facilidad con la que fluyen los electrones) depende de variables como la temperatura
o la presencia de mayor o menor cantidad de electrones libres. Revisemos brevemente
el interior de un transistor. No se preocupen, no vamos a ahondar en la física cuántica.

Un Transistor Como Dos Diodos


Los transistores son como una especie de extensión de otro componente
semiconductor: Los diodos. De cierta forma los transistores son solo dos diodos con sus
cátodos (o ánodos) unidos:

Figura N° 33: Un transistor con 2 diodos

El diodo que conecta la base al emisor es el importante; apunta hacia el mismo sentido
que la flecha en el símbolo esquemático, y muestra en qué sentido debería fluir la
corriente a través del transistor.

La representación del diodo es un buen lugar para comenzar, pero no es para nada
precisa. No fundamentes su entendimiento sobre la operación del transistor en ese

39
Electrónica Analógica Y Digital

modelo (Y definitivamente no trates de replicarlo en un protoboard. No funciona). Hay


muchas cosas extrañas al nivel de la física cuántica que controla la interacción entre
estos tres terminales.

(Este modelo es útil cuando se necesita probar un transistor. Usando la función de


prueba de diodo (o resistencia) en un multitester, pueden medir los terminales BE y BC
para revisar la presencia de esos “diodos”.)

Estructura y Operación del Transistor


Los transistores se construyen al apilar tres distintas capas de material semiconductor.
A algunas de esas capas se le agregan más electrones (Un proceso que se denomina
“dopar”), y a otras se le extraen electrones (están dopadas con “agujeros”- la ausencia
de electrones). Un material semiconductor con electrones adicionales se denomina tipo-
n (n de negativo debido a que los electrones tienen una carga negativa) y un material
con electrones extraídos se llama tipo-p (de positivo). Los transistores se crean al apilar
un n sobre un p sobre un n, o al apilar un p sobre un n sobre un p.

Figura N° 34: Estructura de un transistor

Podemos estimar que los electrones fluyen fácilmente de las regiones n a las regiones
p, siempre y cuando tengan un poco de fuerza (voltaje) que los empujen. Pero fluir de
una región p a una región n es bastante difícil (requiere de mucho voltaje). La
característica especial de los transistores (lo que hace que nuestro modelo de dos
diodos sea obsoleto) es el hecho que los electrones pueden fluir fácilmente de la base
tipo-p al colector tipo-n siempre y cuando la unión base-emisor este polarizada (esto
quiere decir que la base se encuentra a un voltaje mayor que el emisor).

40
Electrónica Analógica Y Digital

Figura N° 35: Estructura de transistor

El transistor NPN está diseñado para permitir el paso de electrones desde el emisor al
colector (es decir, por convención la corriente fluye en sentido contrario al movimiento
de los electrones). El emisor “emite” electrones a la base, la cual controla la cantidad de
electrones que emite el emisor. La mayoría de los electrones emitidos por el emisor son
“recolectados” por el colector, el cual los envía a la parte que sigue en el circuito.

Un PNP funciona de la misma manera, pero en sentido opuesto. La base es la que


controla el flujo de corriente, pero esa corriente fluye en sentido inverso, desde el emisor
al colector. En vez de electrones, el emisor emite “agujeros” (una ausencia conceptual
de electrones) los cuales son recolectados por el colector.

El transistor es una especie de válvula de electrones. El pin correspondiente a la base


es como una manilla que se puede ajustar para dejar pasar mayor o menor cantidad de
flujo de electrones desde el emisor al colector. Investiguemos esta analogía un poco
más.

Ampliando la Analogía del Agua


Si has estado leyendo muchos tutoriales de conceptos eléctricos últimamente, lo más
probable es que estés acostumbrado a las analogías del agua. Decimos que la corriente
es semejante a la cantidad de flujo de agua, el voltaje es la presión que empuja esa
agua a través de una tubería, y la resistencia es el diámetro del tubo.

41
Electrónica Analógica Y Digital

Figura N° 36: Analogía

Como es de esperar, la analogía del agua se puede extender a los transistores también:
un transistor es como una válvula de agua (un mecanismo que usamos para controlar
la cantidad de flujo).

Existen tres estados en las que podemos utilizar una válvula, y cada uno de esos
estados tiene un efecto distinto sobre la cantidad de flujo en un sistema.

1) Encendido – Corto Circuito


Una válvula puede estar completamente abierta, permitiendo que el agua fluya
libremente (pasando como si la válvula no estuviese presente)

Figura N° 37: Transistor ON

2) Apagado – Circuito Abierto


Cuando está cerrada, una válvula puede parar completamente el flujo agua.

Figura N° 38: Transistor OFF

42
Electrónica Analógica Y Digital

De la misma manera, un transistor puede ser utilizado para crear un circuito abierto
entre los pines del colector y el emisor.

3) Control de Flujo Lineal


Con un poco de afinación, una válvula puede ser ajustada en algún punto entre estar
totalmente abierta o totalmente cerrada para controlar la cantidad de flujo.

Figura N° 39: Flujo lineal

Un transistor puede hacer lo mismo, controlar linealmente la corriente a través de un


circuito. Esto se hace en algún punto entre estar completamente apagado (circuito
abierto) y completamente encendido (un corto circuito).

En nuestra analogía del agua, el diámetro de la tubería es similar a la resistencia en un


circuito. Si una válvula puede ajustar el diámetro de una tubería, entonces un transistor
puede ajustar la resistencia entre el colector y el emisor. De cierta manera, un transistor
es como una resistencia variable y ajustable.

Amplificar Potencia
Hay otra analogía que podemos incorporar acá. Imagina que con girar un poco una
válvula, usted pudiese controlar la cantidad de flujo de las puertas de la represa Hoover.
La pequeña cantidad de fuerza que usted aplica al girar la perilla tiene el potencial de
crear una fuerza miles de veces más fuerte. Esta idea se puede traspasar también a los
transistores. Los transistores son especiales debido a que ellos pueden amplificar las
señales eléctricas, convirtiendo una señal de baja potencia en una señal parecida de
potencia mucho más alta.

No es tan simple, pero es un buen comienzo. Revisa la próxima sección para una
explicación más detallada sobre la operación de un transistor.

Modos de Operación
A diferencia de las resistencias, las cuales imponen una relación lineal entre el voltaje y
la corriente, los transistores son dispositivos no-lineales. Tienen cuatro modos distintos
de operación, los cuales describen el flujo de corriente a través de ellos- (Cuando
hablamos del flujo de corriente en un transistor, generalmente nos referimos a la
corriente que fluye del colector al emisor de un NPN.)

43
Electrónica Analógica Y Digital

Los cuatro modos de operación de los transistores son:


• Saturación – El transistor actúa como un corto circuito. La corriente fluye libremente
del colector al emisor.
• Corte – El transistor actúa como un circuito abierto. No fluye corriente desde el
colector al emisor.
• Activa – La corriente del colector al emisor es proporcional a la corriente que fluye
hacia la base.
• Inversa – Como en el modo activo, la corriente es proporcional a la corriente base,
pero fluye inversamente. La corriente fluye del emisor al colector (no es exactamente
el propósito para cual el transistor fue diseñado).

Para determinar en qué modo está un transistor, debemos revisar los voltajes de cada
uno de estos tres pines, y como se relacionan entre ellos. Los voltajes de base a emisor
(VBE), y los de base a colector (VBC) dictan el modo del transistor:

Figura N° 40: Funcionamiento de un transistor

El gráfico simplificado que vemos arriba muestra como los voltajes positivos y negativos
en los terminales que afectan el modo de operación. En realidad es un poco más
complicado que eso. Revisemos los cuatro modos de transistores individualmente;
vamos a investigar cómo poner el dispositivo en ese modo, y que efecto tiene sobre el
flujo de corriente.

44
Electrónica Analógica Y Digital

Nota: Esta página se enfoca en transistores NPN. Para entender cómo funciona un
transistor PNP, simplemente da vuelta la polaridad de los signos > y <.

Modo de Saturación
La saturación es el modo encendido de un transistor. Un transistor en modo de
saturación actúa como un corto circuito entre el colector y el emisor.

Figura N° 41: Modo de saturación

En modo de saturación, los dos “diodos” en el transistor están polarizados. Esto significa
que VBE debe ser mayor que 0, y también lo debe ser VBC. En otras palabras, VB debe
ser mayor que VE y VC.

Debido a que la unión de la base al emisor se ve igual que un diodo, en realidad VBE
debe ser mayor al voltaje umbral para entrar a la saturación. Hay muchas abreviaciones
para esta caída de voltaje, Vth, Vγ, y Vd son algunos, y el valor real de este depende
del transistor (y aun mas de la temperatura). Para muchos transistores (a temperatura
ambiente) podemos estimar que la caída de voltaje será alrededor de 0.6V.

Otro fastidio de la realidad: no existe la conducción perfecta entre el emisor y el colector.


Una pequeña caída de voltaje se va a formar entre esos nodos. Los datasheet de los
transistores van a definir este voltaje como el voltaje de saturación CE V CE(sat), el cual es
un voltaje de colector a emisor que es requerido para la saturación. Este valor está
generalmente alrededor de 0.05-0.2V. Este valor implica que VC debe ser un poco más

45
Electrónica Analógica Y Digital

alto que VE (pero los dos más pequeños que VB) para que el transistor entre en modo
de saturación.

Modo de Corte
El modo de corte es el opuesto al modo de saturación. Un transistor en modo de corte
está apagado – no hay corriente de colector, y por lo tanto no hay corriente de emisor.
Casi parece un circuito abierto.

Figura N° 42: Modo de corte

Para que un transistor entre en modo de corte, el voltaje base debe ser menor que los
voltajes de base y emisor. VBC y VBE deben ser negativos.

En realidad, VBE puede ser cualquier valor entre 0V y Vth (~0.6V) para entrar en modo
de corte

Modo Activo
Para operar en modo activo, el VBE de un transistor debe ser mayor a cero y VBC debe
ser negativo. Entonces. El voltaje de base debe ser menor al voltaje del colector, pero
mayor al voltaje del emisor. También significa que el voltaje del colector debe ser mayor
al del emisor.

En realidad, necesitamos una caída de voltaje directo que no sea cero (abreviado como

46
Electrónica Analógica Y Digital

Vth, Vγ, o Vd) desde la base al emisor (VBE) para “encender” el transistor. Generalmente
este voltaje es de alrededor de 0.6V.

Amplificar en Modo Activo


El modo activo es el modo más poderoso del transistor debido a que este convierte el
dispositivo en un amplificador. La corriente que entra en el pin de la base amplifica la
corriente que entra al colector y que sale del emisor.

Nuestra anotación abreviada para la ganancia (factor de amplificación) de un transistor


es β (también lo puedes encontrar como βF, o hFE). β relaciona linealmente la corriente
del colector (IC) con la corriente de la base(IB):

El valor real de β varía dependiendo del transistor. Generalmente es alrededor de 100,


pero puede estar entre el rango de 50 hasta 200…incluso 2000, dependiendo de qué
transistor se ocupa y cuanta corriente fluye a través de él. Si tu transistor tiene un β de
100, por ejemplo, eso significaría que una corriente de entrada de 1mA en la base podría
producir una corriente de 100mA a través del colector.

Figura N° 43: Modo activo

Modelo del modo activo. VBE = Vth, y IC = βIB.

47
Electrónica Analógica Y Digital

¿Qué pasa con la corriente del emisor? En modo activo, las corrientes de la base y del
colector entran al dispositivo, y la IE sale. Para relacionar la corriente del emisor con la
del colector, tenemos otro valor constante: α. α es la ganancia común de la base, y
relaciona las corrientes de esta manera:

α es usualmente muy cercano a, pero menor que, 1. Esto significa que IC es muy
cercano a, pero menor que IE en modo activo.

Puede usar β para calcular α, o viceversa:

Si β es 100, por ejemplo, esto significa que α es 0.99. Entonces si IC es de 100mA, por
ejemplo, entonces IE es de 101mA.

Amplificadores
Algunas de las aplicaciones de transistores más poderosas involucran amplificación:
convertir una señal de baja potencia a una de potencia más alta. Los amplificadores
pueden aumentar el voltaje de una señal, tomando algo del rango de los µV y
convirtiéndolo en valores más útiles en el rango de los mV o V. O pueden amplificar la
corriente, lo cual es útil al convertir la corriente de µA producida por un fotodiodo a una
corriente de magnitud más grande. Incluso hay amplificadores que toman una corriente
de entrada y producen un voltaje más alto, o viceversa (llamado transresistencia o
transconductancia respectivamente).

Los transistores son componentes claves en muchos circuitos amplificadores. Hay una
variedad casi infinita de transistores amplificadores, pero afortunadamente, mucho de
ellos son basados en algunos de estos circuitos más primitivos. Acuérdese de estos
circuitos, y con suerte, y un poco de reconocimiento de patrones, va a poder entender
amplificadores más complejos.

Configuraciones Comunes
Tres de los transistores amplificadores más fundamentales son: emisor común, colector
común, y base común. En cada una de estas tres configuraciones uno de los tres nodos
está permanentemente conectado al voltaje común (generalmente tierra), y los otros
dos nodos son o una entrada o una salida del amplificador.

48
Electrónica Analógica Y Digital

Emisor Común
El emisor común es uno de los arreglos de transistor más popular. En este circuito el
emisor es conectado a un voltaje común tanto para la base como el emisor
(generalmente tierra). La base se convierte en la señal de entrada, y el colector en la
salida.

Figura N° 44: Emisor común

El circuito de emisor común es popular debido a que es muy adecuado para la


amplificación de voltaje, especialmente a frecuencias bajas. Son buenos para amplificar
señales de audio por ejemplo. Si tiene una señal de entrada pico a pico pequeña de
1.5V, podría amplificarla a un voltaje mucho más alto usando un circuito un poco más
complicado como:

Figura N° 45: Circuito

Una peculiaridad del emisor común es que invierte la señal de entrada (¡Compáralo con

49
Electrónica Analógica Y Digital

el inversor de la última página de este tutorial!)

Colector común (Seguidor de Emisor)


Si conectamos el pin del colector a un voltaje común, usamos la base como entrada y
el emisor como salida, tenemos un colector común. Esta configuración también se
conoce como un seguidor de emisor.

Figura N° 46: Seguidor de emisor

Mientras la carga aumenta (lo cual significa que la resistencia es más baja) la salida del
circuito divisor de voltaje cae. Pero la salida de voltaje del seguidor de emisor se
mantiene parejo, independiente de lo que sea la carga. Las cargas más grandes no
“sobrecargan” un seguidor de emisor, como lo hacen en circuitos con impedancias de
salida más grandes.

Base Común
Vamos a hablar sobre el base común para poder dar cierre a esta sección, pero este es
el menos popular de las tres configuraciones fundamentales. En un amplificador de base
común, el emisor es una entrada y el colector es una salida. La base es común para los
dos.

Figura N° 47: Base común

La base común es como el anti- seguidor de emisor. Es un amplificador de voltaje


decente, y la corriente que entra es casi la misma que la que sale (de hecho, la corriente
que entra es un poco más grande que la que sale).

El circuito de base común funciona mejor como un búfer de corriente. Puede tomar una
corriente de entrada en una impedancia de entrada baja, y suministrar casi la misma
cantidad de corriente a una salida de impedancia más alta.

En Resumen
Estas tres configuraciones de amplificadores son el corazón de muchos transistores

50
Electrónica Analógica Y Digital

amplificadores más complicados. Cada uno tiene una aplicación donde se destacan, ya
sea amplificando corriente, voltaje, o actuando como búfer.

Emisor Común Colector Común Base Común

Ganancia de Voltaje Medio Bajo Alto

Ganancia de Corriente Medio Alto Bajo

Impedancia de Entrada Medio Alto Bajo

Impedancia de Salida Medio Bajo Alto

Figura N° 48: Configuraciones de amplificadores

Amplificadores Multietapa
Podríamos seguir hablando sobre la gran variedad de transistores amplificadores que
existen. Aquí hay algunos ejemplos rápidos para mostrar que pasa cuando se combinan
los amplificadores anteriores de una etapa:

Darlington
El amplificador Darlington conecta un colector común a otro para crear un amplificador
de ganancia de alta corriente.

Figura N° 49: Darlington

La salida de voltaje es casi la misma que el voltaje de entrada (menos 1.2V-1.4V), pero
la ganancia de corriente es el producto de dos ganancias de transistores. ¡Eso es 2β,
más alto que 1000!

51
Electrónica Analógica Y Digital

El par Darlington es una buena herramienta si necesita llevar una carga grande con una
corriente de entrada muy pequeña.

Amplificador Diferencial
Un amplificador diferencial resta dos señales de entrada y amplifica esa diferencia. Es
una parte crítica de los circuitos de retroalimentación, donde la entrada es comparada
con la salida, para producir una salida.

Aquí está la base del amplificador diferencial:

Figura N° 50: Amplificador diferencial

Este circuito también se llama un “Long tailed pair”. Es un par de circuitos de emisor
común que son comparados para producir una salida diferencial. Las dos entradas son
aplicadas a las bases de los transistores; la salida es un voltaje diferencial a través de
los dos colectores.

Amplificador Contrafase (Push-Pull o Empuja-Tira)


Un amplificador contrafase es una “etapa final” útil en muchos amplificadores
multietapa. Es un amplificador de potencia eficiente, que es comúnmente usado para
los altoparlantes.

Los amplificadores contrafases fundamentales usan un transistor NPN y un transistor


PNP, los dos configurados como colector común:

52
Electrónica Analógica Y Digital

Figura N° 51: Push pull

El amplificador contrafase no amplifica realmente el voltaje (la salida de voltaje va a ser


un poco menos que el de entrada), pero si amplifica corriente. Es especialmente útil en
circuitos bipolares (los que tienen fuentes positivas y negativas), porque puede
“empujar” la corriente a la carga dese la fuente positiva, y puede “tirar” la corriente y
hundirla en la fuente negativa.

Si tiene una fuente bipolar (o incluso si no la tiene), el amplificador contrafase es una


excelente etapa final para un amplificador, actuando como un búfer para la carga.

Juntándolos Todos (Un Amplificador Operacional)


Veamos un ejemplo clásico de un circuito de transistor multietapa: un Amplificador
Operacional. Ser capaz de reconocer circuitos de transistores comunes, y entender sus
propósitos te pueden llevar muy lejos. Aquí hay un circuito del interior de un LM3558,
un amplificador operacional muy simple.

Figura N° 52: Amplificador operacional

53
Electrónica Analógica Y Digital

El interior de un amplificador operacional LM358. ¿Reconoce algunos amplificadores?


Ciertamente hay más complejidad acá de lo que puedes estar preparado para digerir,
Sin embargo puedes revisar las siguientes topologías familiares:

Q1, Q2, Q3, y Q4 forman la etapa de entrada. Se parece harto a un colector común (Q1
y Q4) que entra un amplificador diferencial, ¿verdad? Solo se ve al revés porque está
usando un PNP. Estos transistores ayudan a formar la entrada de la etapa diferencial
del amplificador.
Q11 y Q12 son parte de la segunda etapa. Q11 es un colector común y Q12 es un
emisor común. Este par de transistores hace un búfer de la señal del colector de Q3, y
provee una alta ganancia mientras la señal va a la etapa final.
Q6 y Q13 son parte de la etapa final, y también deberían verse familiares
(especialmente si ignora RSC), ¡es un contrafase! Esta etapa actúa como búfer para la
salida, permitiendo que conduzca cargas más grandes.
Hay una variedad de otras configuraciones comunes ahí de las cuales no hemos
conversado. Q8 y Q9 están configuradas como un espejo de corriente, que simplemente
copia la cantidad de corriente de un transistor al otro.
Después de este curso de transistores, no esperamos que entiendas todo lo que está
sucediendo en este circuito, pero si podrás comenzar a identificar circuitos de
transistores comunes.

Fototransistor
Los fototransistores y fotodiodos son transductores electroópticos estrechamente
relacionados que convierten la luz incidente en corriente eléctrica en aplicaciones tales
como detección de posición/presencia, medición de intensidad de luz y detección de
pulso óptico de alta velocidad. Sin embargo, para aprovechar al máximo estos
dispositivos, los diseñadores deben prestar especial atención a los circuitos de interfaz,
la longitud de onda y la alineación mecánica óptica.

Por ejemplo, se necesitan circuitos de interfaz apropiados para extraer la corriente


máxima en diferentes intensidades y condiciones. Sin embargo, la aplicación efectiva
también requiere una comprensión de sus principios de funcionamiento y cómo difieren
los fototransistores y fotodiodos.

Este artículo discutirá los principios de funcionamiento de estos dispositivos, algunas de


las consideraciones paramétricas críticas y algunos de los matices más finos de la
aplicación del dispositivo, junto con algunas soluciones de ejemplo.

Fundamentos y atributos de los fotodiodos y fototransistores


Los fotodiodos producen un flujo de corriente cuando absorben la luz. Hay dos tipos que
se muestran en la Figura 1. El primero es el diodo fotovoltaico (célula solar) más
conocido, que produce corriente cuando la luz lo ilumina. El segundo es el
fotoconductor, que es un fotodiodo de polarización inversa. La luz que brilla en el
fotodiodo hace que su resistencia a la corriente de polarización inversa disminuya.

54
Electrónica Analógica Y Digital

Esta corriente se puede medir para dar una lectura de la intensidad de la luz incidente.
Mirándolo de otra manera, el fotodiodo funciona como una restricción en el flujo de
corriente, con más luz que reduce la restricción. En casi todos los casos, el fotodiodo
debe usarse con un amplificador asociado, como un amplificador de transimpedancia
(TIA, por sus siglas en inglés) para convertir el flujo de corriente en una señal útil.

Figura N° 53: Foto diodo y foto transistor

Los fototransistores son algo más complicados que los fotodiodos, ya que son
transistores con la base terminal expuesta. Los fotones que impactan en el dispositivo
activan el transistor, pero, de otro modo, el comportamiento es el mismo que el de un
transistor convencional. (En los primeros días de los dispositivos de estado sólido,
algunos transistores y muchos diodos estaban embalados en receptáculos
transparentes, lo que condujo a un comportamiento errático del circuito dependiendo de
cuánta luz brillaba en los circuitos). El circuito equivalente de un fototransistor es un
fotodiodo con su fotocorriente de salida que va a la base de un transistor de señal
pequeña (Figura 54).

Figura N° 54: Funcionamiento de foto transistor

Al ser un dispositivo de tres terminales, existen múltiples formas de conectar el


fototransistor, con las configuraciones más utilizadas como los amplificadores de emisor
común (CE, por sus siglas en inglés) y colector común (CC, por sus siglas en inglés)
(Figura 55). Para la configuración de CE, la luz hace que la salida pase de un estado
alto a un estado bajo. Para la configuración de CC, la transición de estado es la opuesta.

55
Electrónica Analógica Y Digital

Figura N° 55: Funcionamiento de circuito

Hay otra consideración importante para los fototransistores que no se aplica a los
fotodiodos: se pueden usar en modo activo o en modo interruptor. En el modo activo, el
transistor es un elemento analógico con una salida lineal que es proporcional a la
intensidad de la luz. En el modo interruptor, el transistor actúa como un elemento digital
y se encuentra en estado de corte (apagado) o saturado (encendido).

El modo de funcionamiento se determina por el valor de la resistencia de carga RL, que


se muestra como Rc o Re en la Figura 55. El modo activo se produce cuando VCC >
RL × ICC, y el modo interruptor se produce cuando VCC < RL × ICC, donde IC es la
corriente máxima anticipada y VCC es el voltaje de alimentación, como se muestra. Si
se utiliza el fototransistor para evaluar la intensidad de la luz, se usa el modo activo. Si
se utiliza para detectar la presencia o ausencia de luz, como cuando una tarjeta está en
una ranura, se usa el modo interruptor.

Aunque los fototransistores y los fotodiodos están estrechamente relacionados, sí


tienen diferencias de rendimiento. En general, los fotodiodos pueden fabricarse para ser
mucho más rápidos en una a dos órdenes de magnitud, y con una respuesta de
frecuencia más amplia que los fototransistores. Es por esto que se utilizan para la
detección de pulso de luz en enlaces de fibra óptica de alta velocidad. Sin embargo, los
fotodiodos necesitan un amplificador externo, mientras que un fototransistor solo puede
tener suficiente ganancia de corriente para una aplicación.

Además, los parámetros de rendimiento de los fotodiodos, lo que incluye la sensibilidad


a la luz, la corriente de fuga y la velocidad de respuesta, varían menos con los cambios
de temperatura que para los fototransistores.

Problemas de diseño: mucho más que solo electrónica


Por su naturaleza, los fototransistores y fotodiodos son estimulados por la luz. Esto, por
supuesto, significa que el diseño debe proporcionar un camino óptico claro para que la
luz alcance los fotodispositivos de manera consistente, y el camino debe alinearse y
mantenerse desde la fuente hasta la superficie de detección durante el uso normal y la

56
Electrónica Analógica Y Digital

vida útil del producto.

Los problemas mecánicos de colocación del fototransistor o fotodiodo se dictan por la


aplicación, los modos de uso, la interacción del usuario y muchos otros factores que
deben considerarse cuidadosamente en el diseño del producto. La consistencia de este
camino óptico es crítica. Incluso deben considerarse variaciones mínimas debidas a las
tolerancias de fabricación, flexión de la placa, polvo y otros usos esperados y/o un poco
anormales.

El ángulo de aceptación de la mitad de la potencia óptica para fotodiodos y


fototransistores típicos varía entre ±10° y ±30° según el tamaño del molde, la disposición
de la lente y el espaciado. Dependiendo de la disposición de la aplicación, puede
preferirse el ángulo de aceptación más amplio o más estrecho.

A veces la situación inversa es el problema; los componentes fotosensibles pueden ver


la luz no deseada de una fuente ambiental. En estos casos, puede ser necesario
agregar protectores ópticos externos, bloques de luz internos, filtros de banda pasante
de longitud de onda ópticos, o empujar el sensor aún más, sin impedir la salida del
emisor en su camino hacia el sensor. Esto a menudo requiere encontrar un "punto
óptimo" o punto de equilibrio entre objetivos en conflicto, que involucra una combinación
de problemas electrónicos, ópticos y mecánicos.

57
Electrónica Analógica Y Digital

TAREA N°04

ELECTRÓNICA ANALÓGICA
Implementar circuitos de aplicación con transistor tipo FET.

El transistor de Efecto de Campo (FET)


El transistor de efecto de campo abreviado por las siglas del inglés FET(Field Effect
Transistor), es un dispositivo activo de 3 terminales que usa un campo eléctrico para
controlar el flujo de corriente y tiene una alta impedancia de entrada que es útil en
muchos circuitos y equipos. El transistor de efecto de campo o FET, es un componente
electrónico clave que se utiliza en muchas áreas de la industria electrónica como los
HEMT, MESFET, Transistor de Puerta Flotante y otros tipos de transistores. El FET se
utiliza en muchos circuitos construidos a partir de componentes electrónicos; en áreas
que van desde la tecnología de RF hasta el control de potencia y la conmutación
electrónica hasta la amplificación general.

El uso principal del transistor de efecto de campo(FET) se encuentra dentro de los


circuitos integrados. En esta aplicación, los circuitos FET consumen niveles mucho más
bajos de energía que los circuitos integrados que utilizan tecnología de transistores
bipolares. Esto permite que funcionen los circuitos integrados de gran escala. Si se
usara tecnología bipolar, el consumo de energía sería órdenes de magnitud mayor y la
energía generada sería demasiado grande para disiparse del circuito integrado.
Además de utilizarse en circuitos integrados, las versiones discretas de transistores de
efecto de campo están disponibles como componentes electrónicos con plomo y
también como dispositivos de montaje en superficie.

Figura N° 56: FET

58
Electrónica Analógica Y Digital

Transistor de Efecto de Campo: conceptos básicos del FET

Figura N° 57: Funcionamiento de FET

El concepto de transistor de efecto de campo se basa en el concepto de que la carga


en un objeto cercano puede atraer cargas dentro de un canal semiconductor.
Básicamente, funciona mediante un efecto de campo eléctrico, de ahí el nombre. El FET
consta de un canal semiconductor con electrodos en cada extremo denominado
drenaje(D) y fuente(S). Un electrodo de control llamado puerta(G) se coloca muy cerca
del canal para que su carga eléctrica pueda afectar el canal. De esta manera, la puerta
del FET controla el flujo de portadores (ya sea electrones o huecos) que fluyen desde
la fuente al drenaje. Lo hace controlando el tamaño y la forma del canal conductor.

El canal semiconductor donde ocurre el flujo de corriente puede ser del tipo P o del tipo
N. Esto da lugar a dos tipos o categorías de FET conocidos como FET de canal P y FET
de canal N. A pesar de las dos categorías anteriores hay dos categorías más. El
aumento del voltaje en la puerta puede agotar o mejorar el número de portadores de
carga disponibles en el canal. Como resultado de esto hay FET en modo de mejora y
FET en modo de agotamiento. Como es solo el campo eléctrico el que controla la
corriente que fluye en el canal, se dice que el dispositivo funciona con voltaje y tiene
una alta impedancia de entrada, generalmente son muchos megaohmios. Esto puede
ser una clara ventaja sobre el transistor bipolar que funciona con corriente y tiene una
impedancia de entrada mucho menor.

59
Electrónica Analógica Y Digital

Simbología de Circuitos de los FET

Figura N° 58: Simbología de FET

Los transistores de efecto de campo se usan ampliamente en todas las formas de


circuito, desde los que se usan en circuitos con componentes electrónicos discretos
hasta los que se usan en los circuitos integrados.

Como el transistor de efecto de campo es un componente manejado por voltaje en lugar


de un componente por corriente como es el caso del transistor bipolar, lo que significa
que algunos aspectos del circuito son muy diferentes; como las disposiciones de
polarización particularmente. Sin embargo, el diseño de circuitos electrónicos con FET
es relativamente fácil: es un poco diferente al que se usa con transistores bipolares.

Usando FET, se pueden diseñar circuitos como amplificadores de voltaje,


amortiguadores o seguidores de corriente, osciladores, filtros y muchos más. Los
circuitos son muy similares a los de los transistores bipolares e incluso válvulas
termoiónicas o tubos de vacío. Curiosamente, las válvulas o tubos también son
dispositivos operados por voltaje, por lo tanto sus circuitos son muy similares, incluso
en términos de disposiciones de polarización.

Especificaciones del Transistor de Efecto de Campo FET


Además de seleccionar un tipo particular de transistor de efecto de campo para
cualquier circuito dado, también es necesario comprender las diferentes
especificaciones. De esta manera, es posible garantizar que el FET funcionará con los
parámetros de rendimiento requeridos.

Las especificaciones de FET incluyen todo, desde los voltajes y corrientes máximos
permitidos hasta los niveles de capacitancia y transconductancia. Todos ellos juegan un
papel en la determinación de si un FET en particular es adecuado para un circuito o
aplicación determinados.

La tecnología de transistores de efecto de campo se puede utilizar en varias áreas


donde los transistores bipolares no son tan adecuados: cada uno de estos dispositivos
semiconductores tiene sus propias ventajas y desventajas, y se puede utilizar con gran

60
Electrónica Analógica Y Digital

efecto en muchos circuitos. El transistor de efecto de campo tiene una impedancia de


entrada muy alta y es un dispositivo impulsado por voltaje, lo que lo abre para su uso
en muchas áreas.

Tipos de Transistores de Efecto de Campo

Figura N° 59: Tipos de FET

Hay muchas formas de definir los diferentes tipos de FET disponibles. Los diferentes
tipos significan que durante el diseño del circuito electrónico, hay una elección del
componente electrónico adecuado para el circuito. Al seleccionar el dispositivo correcto,
es posible obtener el mejor rendimiento para el circuito dado.

Los FET pueden clasificarse de varias formas, pero algunos de los principales tipos de
FET se pueden cubrir en la imagen de árbol superior. Hay muchos tipos diferentes de
FET en el mercado para los que existen varios nombres. Algunas de las categorías
principales se muestran a continuación.

El FET como resistencia variable con la tensión.


El MOSFET tiene una serie de ventajas sobre el transistor de efecto de campo de unión
(JFET). En particular, la resistencia de entrada del MOSFET es más alta que la del
JFET. Por este motivo, el MOSFET se selecciona a favor del JFET para la mayoría de
las aplicaciones. No obstante, el JFET todavía se usa en situaciones limitadas,
especialmente para aplicaciones analógicas.

Hemos visto que los MOSFET de mejora requieren un voltaje de puerta que no sea cero
para formar un canal para la conducción. Ninguna corriente portadora mayoritaria puede
fluir entre la fuente y el drenaje sin este voltaje de compuerta aplicado. En contraste, el
JFET controla la conductancia de la corriente de la portadora mayoritaria en un canal
existente entre dos contactos óhmicos. Lo hace variando la capacitancia equivalente
del dispositivo.

61
Electrónica Analógica Y Digital

Aunque nos acercamos a los JFET sin utilizar los resultados obtenidos anteriormente
para los MOSFET, veremos muchas similitudes en el funcionamiento de los dos tipos
de dispositivos. Estas similitudes se resumen en la Sección 6: “Comparación de
MOSFET con JFET”.

En la Figura 60 se muestra un esquema de la estructura física del JFET. Al igual que el


BJT, el JFET es un dispositivo de tres terminales. Básicamente tiene una sola. PN unión
entre la puerta y el canal en lugar de dos como en el BJT (aunque parece que hay dos
pn Las uniones que se muestran en la Figura 13, se conectan en paralelo al cablear los
terminales de la puerta. Por lo tanto, pueden tratarse como una unión simple).

El nEl canal JFET, que se muestra en la Figura 14 (a), se construye utilizando una tira
de n-tipo material con dos p-Tipo de materiales difundidos en la tira, uno a cada lado.
los p-El canal JFET tiene una tira de p-tipo material con dos n-tipo de materiales
difundidos en la tira, como se muestra en la Figura 13 (b). La figura 13 también muestra
los símbolos del circuito.

Para comprender mejor el funcionamiento del JFET, conectemos el n- canal JFET a un


circuito externo como se muestra en la Figura 14 (a). Una tensión de alimentación
positiva, VDD, se aplica al desagüe (esto es análogo a la VCC tensión de alimentación
para un BJT) y la fuente está conectada a común (masa). Una tensión de alimentación
de compuerta, VGG, se aplica a la puerta (esto es análogo a VBB para el BJT).

Figura N° 60: Esquema físico de un JFET

VDD proporciona una tensión de fuente de drenaje, vDS, que provoca una corriente de
drenaje, iD, para fluir del desagüe a la fuente. Dado que la unión puerta-fuente tiene
polarización inversa, los resultados de corriente de la puerta cero. La corriente de
drenaje, iD, que es igual a la fuente de corriente, existe en el canal rodeado por el p-
tipo de puerta. El voltaje de la puerta a la fuente, vGS, que es igual a, crea un región de
agotamiento en el canal que reduce el ancho del canal. Esto, a su vez, aumenta la
resistencia entre el drenaje y la fuente.

62
Electrónica Analógica Y Digital

Figura N° 61: Circuito físico

Consideramos la operación JFET con vGS = 0, como se muestra en la Figura 61 (b). La


corriente de drenaje, iD, A través de la n- el canal desde el drenaje a la fuente provoca
una caída de voltaje a lo largo del canal, con un mayor potencial en la unión de la
compuerta de drenaje. Este voltaje positivo en la unión de la compuerta de desagüe
invierte la polarización pn cruce y produce una región de agotamiento, como lo muestra
el área sombreada en la Figura 61 (b). Cuando aumentamos vDS, la corriente de
drenaje, iD, también aumenta, como se muestra en la Figura 62.

Esta acción da como resultado una mayor región de agotamiento y una mayor
resistencia del canal entre el drenaje y la fuente. Como vDS aumenta aún más, se
alcanza un punto donde la región de agotamiento corta todo el canal en el borde de
drenaje y la corriente de drenaje alcanza su punto de saturación. Si aumentamos vDS
más allá de este punto, iD permanece relativamente constante. El valor de la corriente
de drenaje saturada con VGS = 0 es un parámetro importante. Es el corriente de
saturación de la fuente de drenaje, IDSS. Lo encontramos para ser KVT2 para el modo
de agotamiento MOSFET. Como se puede ver en la Figura 15, aumentando vDS más
allá de este llamado canal quitar con los dedos punto (-VP, IDSS) provoca un ligero
aumento de iD, y la iD-vDS curva característica se vuelve casi plana (es decir, iD
permanece relativamente constante como vDS se aumenta aún más). Recordar que VT
(ahora designado VP) es negativo para un nDispositivo de canal. La operación más allá
del punto de pellizco (en la región de saturación) se obtiene cuando la tensión de
drenaje, VDS, es mayor que -VP (Ver Figura 15). Como ejemplo, digamos VP = -4V,
esto significa que la tensión de drenaje, vDS, debe ser mayor o igual que - (- 4V) para
que el JFET permanezca en la región de saturación (funcionamiento normal).

Esta descripción indica que el JFET es un dispositivo de tipo de agotamiento.


Esperamos que sus características sean similares a las de los MOSFET de
agotamiento. Sin embargo, hay una excepción importante: aunque es posible operar un
MOSFET de tipo de agotamiento en el modo de mejora (aplicando un vGS si el
dispositivo es n-canal) esto no es práctico en el dispositivo de tipo JFET. En la práctica,
el máximo vGS se limita a aproximadamente 0.3V desde la pn La unión permanece
esencialmente cortada con esta pequeña tensión directa.

63
Electrónica Analógica Y Digital

Figura N° 62: MOSFET

Variación de voltaje de puerta a fuente 3.1 JFET


En la sección anterior, desarrollamos la iD-vDS curva característica con VGS = 0. En
esta sección, consideramos el completo. iD-vDS características para varios valores de
vGS. Tenga en cuenta que en el caso del BJT, las curvas características (iC-vCE) iB
como el parámetro. El FET es un dispositivo controlado por voltaje donde vGS hace el
control. La figura 16 muestra el iD-vDS curvas características tanto para el n-canal y p-
canal JFET.

Figura N° 63: Variación de voltaje

A medida que aumenta (vGS) es más negativo para un n-canal y más positivo para un
p-canal) se forma la región de agotamiento y se logra un pellizco para valores más bajos
de iD. De ahí para el nJFET de canal de la figura 63 (a), el máximo iD reduce de IDSS
as vGS Se hace más negativo. Si vGS disminuye aún más (más negativo), un valor de
vGS se alcanza después de lo cual iD será cero independientemente del valor de vDS.
Este valor de vGS se llama VGS (OFF)o voltaje de pinzamiento (Vp). El valor de Vp es
negativo para un ncanal JFET y positivo para un p- canal JFET. Vp se puede comparar
a VT para el modo de agotamiento MOSFET.

Características de la transferencia de 3.2 JFET


La característica de transferencia es una gráfica de la corriente de drenaje, iD, en
función de la tensión de drenaje a la fuente, vDS, con las vGS igual a un conjunto de

64
Electrónica Analógica Y Digital

voltajes constantes (vGS = -3V, -2, -1V, 0V en la Figura 16 (a)). La característica de


transferencia es casi independiente del valor de vDS ya que después de que el JFET
llega a pellizcar, iD permanece relativamente constante para valores crecientes de vDS.
Esto se puede ver desde el iD–vDS curvas de la Figura 16, donde cada curva se vuelve
aproximadamente plana para los valores de vDS>Vp.

En la Figura 64, mostramos las características de transferencia y la iD-vDS


características para un n- canal JFET. Trazamos estos con un común iD Eje para
mostrar cómo obtener uno del otro. Las características de transferencia pueden
obtenerse a partir de una extensión del iD-vDS curvas como se muestra por las líneas
punteadas en la Figura 17. El método más útil para determinar la característica de
transferencia en la región de saturación es con la siguiente relación (la ecuación de
Shockley):

Por lo tanto, sólo necesitamos saber IDSS y Vp para determinar toda la característica.
Las hojas de datos de los fabricantes a menudo proporcionan estos dos parámetros,
por lo que se puede construir la característica de transferencia. Vp en la hoja de
especificaciones del fabricante se muestra como VGS (OFF). Tenga en cuenta que iD
satura, (es decir, se vuelve constante) a medida que vDS excede el voltaje necesario
para que el canal se desprenda. Esto se puede expresar como una ecuación para vDS,
se sentó para cada curva, como sigue:

As vGS se vuelve más negativo, el pinch-off se produce en valores más bajos de vDS
y la corriente de saturación se vuelve más pequeña. La región útil para la operación
lineal está por encima de la presión y por debajo del voltaje de ruptura. En esta región,
iD Está saturado y su valor depende de vGS, según la ecuación (16) o la característica
de transferencia.

65
Electrónica Analógica Y Digital

Figura N° 64: Características de transferencia

La transferencia y iD-vDS Las curvas características para el JFET, que se muestran en


la Figura 64, difieren de las curvas correspondientes para un BJT. Las curvas BJT se
pueden representar espaciadas uniformemente para pasos uniformes en la corriente de
base debido a la relación lineal entre iC y iB. El JFET y el MOSFET no tienen una
corriente análoga a una corriente base porque las corrientes de compuerta son cero.
Por lo tanto, nos vemos obligados a mostrar la familia de curvas. iD vs vDS, y las
relaciones son muy no lineales.

La segunda diferencia se relaciona con el tamaño y la forma de la región óhmica de las


curvas características. Recuerde que al usar BJT, evitamos la operación no lineal
evitando el menor 5% de los valores de vCE (es decir, la región de saturación). Vemos
que el ancho de la región óhmica para el JFET es una función de la tensión de la puerta
a la fuente. La región óhmica es bastante lineal hasta que la rodilla se presenta cerca
de pellizcarse. Esta región se llama región óhmica porque cuando el transistor se usa
en esta región, se comporta como una resistencia óhmica cuyo valor está determinado
por el valor de vGS. A medida que disminuye la magnitud del voltaje de puerta a fuente,
aumenta el ancho de la región óhmica. También observamos en la Figura 17 que el
voltaje de ruptura es una función del voltaje de puerta a fuente. De hecho, para obtener
una amplificación de señal razonablemente lineal, debemos utilizar solo un segmento
relativamente pequeño de estas curvas; el área de operación lineal está en la región
activa.

As vDS aumenta desde cero, se produce un punto de ruptura en cada curva más allá
de la cual la corriente de drenaje aumenta muy poco como vDS sigue aumentando. A
este valor de voltaje de drenaje a fuente, se produce un pinch-off. Los valores de pellizco
están etiquetados en la Figura 17 y están conectados con una curva discontinua que
separa la región óhmica de la región activa. Como vDS continúa aumentando más allá

66
Electrónica Analógica Y Digital

del pellizco, se alcanza un punto donde el voltaje entre el drenaje y la fuente llega a ser
tan grande que desglose de avalanchas ocurre. (Este fenómeno también ocurre en
diodos y en BJTs). En el punto de ruptura, iD aumenta bruscamente con un aumento
insignificante en vDS. Esta avería se produce en el extremo de drenaje de la unión del
canal de la puerta. Por lo tanto, cuando la tensión de la compuerta de drenaje, vDG,
supera la tensión de ruptura (BVGDS para pn cruce), se produce una avalancha [para
vGS = 0 V]. En este punto, el iD-vDS La característica exhibe la forma peculiar mostrada
en la parte derecha de la figura 17.

La región entre el voltaje de pinch-off y la descomposición de la avalancha se llama


región activa, región de operación del amplificador, región de saturación o región de
pellizco. La región óhmica (antes del pinch-off) generalmente se llama región triodo,
pero a veces se le llama región controlada por voltaje. El JFET funciona en la región
óhmica cuando se desea una resistencia variable y en aplicaciones de conmutación.

La tensión de ruptura es una función de vGS así como vDS. A medida que aumenta la
magnitud de la tensión entre la puerta y la fuente (más negativa para n-canal y mas
positivo para p-canal), la tensión de ruptura disminuye (consulte la Figura 17). Con vGS
= Vp, la corriente de drenaje es cero (excepto por una pequeña corriente de fuga), y con
vGS = 0, la corriente de drenaje se satura en un valor,

IDSS son los corriente de drenaje a fuente de saturación.

Entre el pellizco y la ruptura, la corriente de drenaje está saturada y no cambia


apreciablemente en función de vDS. Después de que el JFET pasa el punto de
operación de pellizco, el valor de iD Se puede obtener a partir de las curvas
características o de la ecuación.

Modelo de CA de señal pequeña 3.3 JFET


Un modelo de pequeña señal JFET se puede derivar siguiendo los mismos
procedimientos utilizados para el MOSFET. El modelo se basa en la relación de la
ecuación (20). Si consideramos sólo el ac componente de los voltajes y corrientes,
tenemos

67
Electrónica Analógica Y Digital

Los parámetros en la ecuación (21) están dados por las derivadas parciales,

68
Electrónica Analógica Y Digital

TAREA N°05

ELECTRÓNICA ANALÓGICA
Elabora circuitos impresos

Elaboración de circuitos impresos y técnicas de soldado.


Concepto de circuito impreso
Los circuitos impresos son un soporte de material aislante donde se conectan entre sí
puntos de un circuito eléctrico mediante pistas conductoras adheridas a él. El circuito
impreso suele servir de soporte físico para la colocación y soldadura de los
componentes.

Antiguamente era habitual la fabricación de circuitos impresos para el diseño de


sistemas mediante técnicas caseras, sin embargo, esta práctica ha ido disminuyendo
con del tiempo.

En los últimos años el tamaño de los componentes electrónicos se ha reducido en forma


considerable, lo que implica menor separación entre pines para circuitos integrados de
alta densidad. Teniendo también en consideración las actuales frecuencias de
operación de los dispositivos, es necesaria una muy buena precisión en el proceso de
impresión de la placa con la finalidad de garantizar tolerancias mínimas.

Los circuitos impresos más sencillos corresponden a los que contienen pistas de cobre
(wires) solamente por una de las superficies de la placa. A estas placas se las conoce
como circuitos impresos de una capa, o en inglés, 1 Layer PCB.

Los más comunes hoy en día son los de 2 capas o 2 Layer PCB. Sin embargo,
dependiendo de la complejidad del diseño del físico del circuito, pueden llegar a
fabricarse hasta de 8 o más capas si es necesario.

PCB son las siglas de Placa de Circuito Impreso, pero utilizamos las siglas en inglés
(Printed Circuit Board) para no confundirla por ejemplo con las ranuras PCI de nuestro
PC.

69
Electrónica Analógica Y Digital

Figura N° 65: PCB

Para qué sirve un circuito impreso


El circuito impreso se utiliza para conectar eléctricamente a través de las pistas
conductoras que hemos comentado anteriormente, y sostener mecánicamente, por
medio de la base, un conjunto de componentes electrónicos.

El objetivo principal de estos circuitos electrónicos es permitir que la corriente eléctrica


lleve a cabo un trabajo útil para nuestra vida, como por ejemplo hacer funcionar los
aparatos eléctricos, iluminar, mover un motor, entre otros tantos. Las placas electrónicas
son utilizadas por el humano a diario, en vista de que éstos están presentes en los
electrodomésticos, el automóvil, el reloj, cámaras de seguridad, portero eléctrico,
juguetes, en fin, todo lo que use la energía eléctrica o una batería en su defecto. Los
PCB tienen un sinfín de aplicaciones.

Diseño de Circuitos impresos


Un Circuito Impreso se confecciona a partir de una placa virgen que está conformada
por una plancha base aislante (cartón endurecido, baquelita, fibra de vidrio o plástico
flexible), que sirve de soporte, y sobre una de las caras o las dos, se deposita una fina
lámina de cobre firmemente pegada al aislante que la cubre completamente.

Sobre esta placa actuaremos para hacer desaparecer todo el cobre sobrante y que
queden nada más las pistas que configuran el circuito.

La realización actual está totalmente automatizada de manera que con ayuda de un


Software se diseña la disposición de los elementos y pistas, para más tarde pasar a las
máquinas de construcción de prototipos, que obtiene el circuito impreso terminado.

Materiales utilizados para el diseño de circuitos:


Si se hace de forma manual el diseño del circuito impreso, es necesario el siguiente
material:
• Regla
• Escuadra

70
Electrónica Analógica Y Digital

• Goma de borrar
• Resto de útiles de dibujo que se consideren necesarios.
• Lapiceros o portaminas de dureza media (HB) para realizar los bocetos del diseño.
• No conviene que las minas sean extremadamente duras, pues, al principio suele ser
necesario borrar muy a menudo.
• Hojas de papel milimétrico. Si la cuadrícula es de un color suave, facilitará reconocer
el trazo.

Luego se confecciona con un programa específico, el cual permite hacer los primeros
tests, renderizar el producto y retocar los últimos aspectos esenciales del diseño.

Montaje de circuitos impresos


Nuestro servicio de montaje favorece que el cliente se centre en el core de su negocio,
reduciendo así esfuerzos innecesarios, tiempos y recursos de su empresa a la hora de
ensamblar un circuito electrónico. De esta manera provocamos un ahorro potencial en
costes de personal, inversión en maquinaria y operativos.

Fabricación, compra de componentes y montaje en un único proveedor. Un servicio


completo que pone a tu disposición toda nuestra tecnología, conocimiento, procesos y
operaciones para facilitar tu ensamblado. Ayudamos a todas organizaciones, sea cual
sea el tamaño del pedido, desde ensamblaje de prototipos hasta grandes pedidos.

Figura N° 66: Montaje PCB

Tipos de componentes de una placa electrónica


En cada placa electrónica podemos encontrar asimismo una serie de componentes que
siempre estarán presentes y de cuyo correcto montaje depende el funcionamiento de
los aparatos electrónicos.

Los tipos de componentes que nos podemos encontrar son los siguientes:
Resistencias
Son elementos electrónicos que tienen como fin poner barreras al paso de la corriente
eléctrica a través de ellas. La característica más importante de estos es su resistencia
óhmica, que viene dada por las cualidades físicas de los materiales presentes en su
composición. Las resistencias pueden ser fijas o variables dependiendo de su valor
resistivo.

71
Electrónica Analógica Y Digital

Condensadores
Dispositivos pasivos utilizados en electricidad y electrónica, capaz de almacenar
energía sustentando un campo eléctrico. Su composición consta de dos armaduras
metálicas paralelas que normalmente son de aluminio en cuya separación encontramos
un material dieléctrico.

Transistores
Los transistores son los dispositivos que se encargan de regular los flujos de corriente
o tensión en un circuito electrónico, haciendo las funciones de interruptor y/o
amplificador de señales eléctricas o electrónicas.

Diodos
Conocidos como rectificadores, ya que realizan la transformación desde una corriente
alterna a una corriente continua pulsante. Los diodos son mecanismos semiconductores
que actúan como interruptores unidireccionales de corriente, clasificándose según la
tipología, la tensión y la capacidad de corriente.

Bobinas
Son componentes pasivos con la competencia de almacenar energía en forma de
campos magnéticos. Están formados por una cabeza hueca de bobina de un conductor
realizadas principalmente en dos materiales, alambre o hilo de cobre esmaltado.

Interruptores
Se posicionan en un circuito electrónico controlando los flujos de electricidad, frenando
la circulación de electrones permitiendo la conmutación de encendido/apagado

Fusibles
Un fusible corta el paso de corriente eléctrica al quemarse los filamentos o láminas de
metal de las que están compuestos. Su ubicación en un circuito eléctrico es al inicio,
evitando que si la corriente de este aumenta llegue al circuito arruinando el dispositivo.

Historia de la placa de circuito impreso


Se cree que el inventor del circuito impreso fue un ingeniero austríaco llamado Paul
Eisler alrededor del año 1936 cuando fabricó una radio. En el año 1943
aproximadamente, se empezaron a fabricar radios a gran escala para su utilización en
la Segunda guerra mundial y cuando esta acabó, EEUU liberó la invención para que se
pudiera comercializar, pero no fue hasta los años 50 que se hicieron populares. En estos
años, la Armada de los EEUU desarrolló el auto ensamblaje. Este método consistía en
que los pines de los componentes se insertaban en la lámina con patrón de
interconexión y posteriormente se soldaban.

Antes de la creación de los circuitos impresos se llevaba a cabo la conexión punto a


punto y para producciones pequeñas o prototipos el método wire wrap.

72
Electrónica Analógica Y Digital

Originalmente se utilizaban componentes electrónicos como pines de cobre o latón que


tenían varios milímetros de longitud y en el circuito se taladraban los agujeros para
dichos pines.

Estos componentes se soldaban a la placa y atravesaban los taladros. Este método se


llamaba agujero pasante. Finalmente, con los avances en la laminación de tarjetas y las
técnicas de grabados este proceso evolucionó a lo que se hace en la actualidad. La
soldadura se hace automáticamente a través de un flujo de soldadura derretida, en una
máquina de soldadura por ola. El coste que se asociaba a los taladros que tenían que
hacerse desaparece gracias al montaje superficial.

Tipos de circuitos Impreso.


En general, las placas de circuito impreso (PCB) se clasifican según el número de
capas, el tipo de sustrato y la frecuencia. Los PCB se dividen en PCB de un solo lado,
PCB de dos lados y PCB multicapa según el material. Al mismo tiempo, la PCB también
se puede dividir en PCB rígida, PCB flexible y PCB rígido-flexible según el material.

PCB de una cara


La PCB de un solo lado es el tipo más simple de placa de circuito impreso. La siguiente
figura muestra la estructura de una placa de circuito impreso de un solo lado. Las capas
azul, amarilla y verde son el sustrato, la capa de cobre conductor y la máscara de
soldadura, respectivamente. En la PCB de un solo lado, solo un lado del sustrato está
recubierto con una capa de cobre, y este lado es donde los componentes están
conectados eléctricamente. La placa de circuito impreso de una sola cara es rentable y
fácil de fabricar. Pero tiene muchas restricciones en el diseño del circuito porque las
rutas conductoras no pueden cruzarse ni superponerse. Por lo tanto, la PCB de un solo
lado actual solo se usa para circuitos simples como juguetes electrónicos, calculadoras,
etc.

Figura N° 67: PCB de una cara

73
Electrónica Analógica Y Digital

PCB de doble cara


A diferencia de la placa de circuito impreso de un solo lado, la PCB de doble cara tiene
capas de cobre en ambos lados del sustrato. Mientras tanto, los componentes se
pueden unir en ambos lados. Las tecnologías de montaje en superficie y de orificio
pasante se utilizan ampliamente para realizar conexiones de circuito en ambos lados.

Figura N° 68: PCB de doble cara

Los agujeros pasantes chapados (PTH) en el PCB de doble cara actuar como un
puente. Las paredes de los orificios pasantes enchapados generalmente están
enchapadas con cobre mediante un proceso de electrólisis para conectar
eléctricamente los circuitos de un lado al otro lado. Debido a la mayor densidad de
circuito de la placa de circuito impreso de doble cara, la placa de circuito impreso de
doble cara es adecuada para circuitos más complejos. En comparación con una placa
de circuito impreso de un solo lado, es flexible y compacta. Varias aplicaciones, como
el control de potencia y los amplificadores, utilizan la placa de circuito impreso de doble
cara.

Figura N° 69: PTH

PCB multicapa
La PCB multicapa se compone de más de 2 capas conductoras, dos de las cuales están
en las superficies exteriores y las capas restantes están integradas en capas aislantes.
Entre cada 2 capas está el preimpregnado, que es una capa dieléctrica y se puede
hacer muy delgada. El número de capas en la PCB representa el número de capas de
cobre conductoras independientes. Generalmente, las capas superior e inferior son
PCB de un solo lado y las capas internas son PCB de dos lados, todas las cuales se
laminan juntas a alta temperatura y presión para formar una sola placa. En comparación

74
Electrónica Analógica Y Digital

con la placa de circuito impreso de una cara y de dos caras, la placa de circuito impreso
multicapa es buena para circuitos de alta velocidad, como teléfonos móviles y
computadoras portátiles, y es más flexible y compacta. La siguiente figura es un ejemplo
de una PCB de 6 capas.

Figura N° 70: PCB multicapa

En cuanto a la conexión eléctrica entre diferentes capas, se suele realizar a través de


vías: agujeros pasantes chapados(PTH), vías ciegas y vías enterradas. Los orificios
pasantes chapados (PTH) acceden a todas las capas de la PCB multicapa de arriba a
abajo. Las vías ciegas conectan cualquiera de las capas más externas de la PCB con
las capas internas adyacentes. Las vías enterradas que no son visibles desde el exterior
simplemente se conectan entre las capas del circuito interno.

Figura N° 71: Conexión eléctrica

PCB rígido
Los materiales del sustrato de la placa de circuito impreso rígida son materiales sólidos,
como la fibra de vidrio, que no se pueden doblar ni plegar. La placa de circuito impreso
rígida puede ser cualquiera de las placas de circuito impreso de una cara, de dos caras
o de varias capas, según las necesidades. Las principales ventajas incluyen el bajo
ruido electrónico y la absorción de vibraciones. Pero los PCB rígidos no se pueden
modificar ni cambiar una vez que se fabrican. Las aplicaciones incluyen portátiles,
sensores de temperatura, equipos GPS, etc.

75
Electrónica Analógica Y Digital

Figura N° 72: PCB rígido

PCB flexible
A diferencia de la placa de circuito impreso rígida, la placa de circuito impreso flexible
generalmente se compone de una lámina de cobre recocido laminado (RA) y una
película de plástico flexible. Permite que la placa de circuito se adapte a una forma en
la que la placa de circuito impreso rígida no se puede girar ni mover durante el uso sin
dañar el circuito de la placa de circuito impreso. El PCB flexible ahorra costos y mucho
espacio y reduce en gran medida el peso de la placa y el tamaño del producto de
aplicación. En otras palabras, es una opción ideal para una variedad de aplicaciones
que requieren una alta densidad de trazas de señal. La PCB flexible puede ser
cualquiera de las PCB de una cara, de dos caras o de múltiples capas, según las
necesidades. Las aplicaciones de PCB flexibles incluyen productos electrónicos
complejos, fabricación de diodos orgánicos emisores de luz (OLED), fabricación de
LCD, etc.

Figura N° 73: PCB flexible

76
Electrónica Analógica Y Digital

PCB rígido-flexible
Rigid-flex PCB es una combinación de la placa de circuito impreso rígido y la placa de
circuito impreso flexible después del prensado y otros procesos. En PCB rígido-flexible,
las interconexiones entre placas de circuito rígido son las partes flexibles de la placa.
Por lo tanto, este tipo de tablero se puede plegar o doblar continua y normalmente se le
da una forma curva durante el proceso de fabricación. La placa de circuito impreso
rígido-flexible se puede utilizar para productos con requisitos especiales, ya que tiene
áreas tanto rígidas como flexibles., que puede ahorrar espacio interno y volumen del
producto, y mejorar el rendimiento del producto, como una mayor confiabilidad de
conexión. Sin embargo, los PCB rígido-flexibles requieren múltiples procesos de
producción, lo que lleva a una tasa de rendimiento baja, un ciclo de producción
relativamente largo y un precio alto. Las aplicaciones de PCB rígido-flexibles se
encuentran principalmente en los campos médico, electrónico de consumo y
aeroespacial.

Figura N° 74: PCB rígido flexible

PCB de alta frecuencia


Como placa de circuito impreso especial, la PCB de alta frecuencia ofrece un rango de
alta frecuencia de 500MHz a 2GHz. Proporciona índices de flujo de señal más rápidos,
lo cual es adecuado para diseños de alta velocidad. Tiene requisitos muy altos para
varias propiedades físicas, precisión y parámetros técnicos. En primer lugar, el material
del sustrato de PCB de alta frecuencia debe tener las características de resistencia al
calor, resistencia química y buena resistencia al impacto. En segundo lugar, el factor de
disipación (Df) de la placa debe ser pequeño, lo que afecta principalmente a la calidad
de transmisión de la señal. Cuanto menor sea el factor de disipación, menor será la
pérdida de señal. Además, la constante dieléctrica (Dk) de la placa debe ser pequeña y
estable porque la velocidad de transmisión de la señal es inversamente proporcional a
la raíz cuadrada de la constante dieléctrica del material. En otras palabras, es probable

77
Electrónica Analógica Y Digital

que la alta constante dieléctrica provoque retrasos en la transmisión de la señal. El


sustrato de PCB de alta frecuencia también debe tener una característica de baja
absorción de agua porque el agua alta la absorción provocará la pérdida tanto del factor
de disipación como de la constante dieléctrica cuando la placa se humedezca. La PCB
de alta frecuencia se usa a menudo en sistemas para evitar colisiones (CAS), sistemas
satelitales, sistemas de radio, aplicaciones móviles, etc.

Figura N° 75: PCB de alta frecuencia

Diseño del PCB


El diseño del PCB es lo mismo que finalizar una obra de arte. Los ingenieros pueden
pasar días, semanas o incluso meses tratando de diseñar estos patrones en un intento
de crear algo único. Pero al igual que estos ingenieros, ¿no querrías hacer lo mismo
que ellos y convertir todas las teorías eléctricas que has aprendido en algo real?

Por supuesto que sí. Primero, puede que quieras entender algunas cosas sobre el
proceso de diseño del PCB. Mientras que los desafíos están por delante, el diseño del
PCB es la mejor parte cuando se trata de diseñar un PCB.

Figura N° 76: Diseño del PCB

78
Electrónica Analógica Y Digital

¿Qué es el diseño del PCB?


En primer lugar, una disposición es la manera en que los componentes de algo se
organizan o cómo se fijan los materiales. Lo mismo ocurre cuando se trata de los PCB.
Un diseño de PCB es un término amplio que indica varios procesos requeridos en el
diseño de un PCB. Implica la realización de trazas, recortes de agujeros de montaje,
etiquetado y especificación de la ubicación de los componentes, entre otros.

Figura N° 77: Distribución del circuito

Diseño del Diseño de la PCB


El diseño del diseño del PCB es una habilidad única que exige la comprensión tanto del
sistema CAD del PCB como del software de diseño del PCB. A continuación se
presentan algunos de los aspectos esenciales:

El principio de la disposición original


Casi todo el software de diseño de PCB tiene la opción de "auto enrutador", y la mayoría
de las veces, muchos diseñadores se arriesgarían inmediatamente a utilizarlo. La razón
es que es una forma fácil de enrutar un PCB. Pero para estar seguros, es aconsejable
no utilizarlo nunca. La razón es que no es tan preciso y simétrico como debería ser.

Dirección del diseño original


Antes de comenzar a colocar los componentes, es necesario consultar con el productor.
Puede que tengas que escuchar cualquier demanda que él pueda tener, como el
número de capas que pueden manejar. Otros requisitos pueden incluir cosas como el
ancho mínimo de traza y el espaciado de traza, entre otros.

79
Electrónica Analógica Y Digital

El espaciado de los originales en la maqueta


Cuando la electricidad pase a través de los rastros de cobre, generará calor. Pero eso
se puede evitar controlando el espacio de las marcas. Su ancho necesita ser controlado.
Es importante señalar que los rastros más completos significan menos resistencia que
la corriente encuentra al pasar por el circuito.

Evita usar un ángulo de 90 grados


Es aconsejable evitar el uso de un ángulo de trazado de 90 grados y en su lugar usar
45 grados. ¿Pero cuál es la razón de esto? Los aspectos de trazado de 45 grados evitan
los cortocircuitos. Los ángulos de 90 grados también pueden no grabarse
completamente, y esto puede causar cortocircuitos.

Crear siempre un plano


Siempre debes tener un terminal de tierra común en los esquemas de los circuitos. Esto
es esencial para un esquema de PCB ya que proporciona trazas con una referencia
estándar dirigida a medir los voltajes. Los problemas surgen cuando se intenta utilizar
marcas en lugar de planos de tierra para el trazado.

Evitar la superposición
Por último, a toda costa, asegúrese de evitar los problemas que tienen que ver con la
superposición cuando se trata de diseños de PCB. Esto puede hacer que toda la línea
sea aún más complicada.

El Proceso de Consideración de Las Directrices de Diseño de Los PCB/PCB


Aunque el diseño del PCB puede parecer una obra de arte, es esencial empezar de
forma organizada. Para conseguir el mejor diseño, hay que seguir los tres pasos
necesarios.

Paso 1: Primero, tienes que convertir tus esquemas en una forma de diseño de PCB.
Paso 2: En segundo lugar, hay que añadir y posteriormente rotar los componentes con
el fin de reducir las longitudes y el cruce de los cables de aire.
Paso 3: Finalmente, ajustar el tamaño del diseño para el enrutamiento.

Diseño del PCB - Tres Elementos de IEM


La interferencia electromagnética (IEM) es la interferencia causada por un dispositivo
eléctrico o electrónico a otro a través de campos electromagnéticos. La IEM es un
fenómeno común en los PCB. Los siguientes son tres elementos de IEM:

Tres tipos de acoplamiento


• Acoplamiento conducido - esto ocurre si la fuente de energía energiza una parte
decente de la antena que concierne a la otra.
• Acoplamiento de campo eléctrico - este es un acoplamiento que es energizado o

80
Electrónica Analógica Y Digital

conducido por voltaje. En otras palabras, es proporcional a la energía de la fuente.


• Acoplamiento del campo magnético - este es el acoplamiento energizado o
impulsado por la corriente. Es equivalente al flujo de la fuente, en otras palabras.

Circuito del Reloj


Circuito de reloj - en un PCB, los canales digitales síncronos son comúnmente las
señales más confiables. Por lo tanto, en bandas estrechas, es posible observar las
emisiones radiadas. Además, estos picos tienden a producirse en los armónicos de la
frecuencia del reloj. Muchos PCB no tienen señales de reloj en ellos, sino que tienen
algo de información digital.

Circuito de conmutación de energía


Las fuentes de alimentación conmutadas y los convertidores de corriente continua
proporcionan varios niveles de voltaje al conmutar rápidamente los niveles de voltaje en
un transformador. Si te entusiasma, notarás que la típica frecuencia de conmutación
está entre 10-100 kHz.

Servicios de Diseño de PCB


¿Está usted en el mercado de los productores que ofrecen excelentes servicios de
diseño de PCB? Si usted es un principiante en este campo, entonces aquí están algunas
de las cosas más importantes que debe considerar:

Servicios de diseñadores de diseños de PCB


Si planea adquirir servicios de diseño de PCB, entonces debe asegurarse de que está
obteniendo dichos servicios tanto para los PCB existentes como para los nuevos.
Además de las pruebas, asegúrese de que su fabricante respete las cuestiones de
altura, tamaño y peso, especialmente si el diseño del PCB le parece difícil.

Resultados de los diseñadores de diseños de PCB


Al trabajar con los diseñadores, verá los siguientes resultados:
• Diagramas de capas de PCB
• Esquemas Completos
• Archivos completos de Gerber
• Un montón de software

La importancia de los servicios de diseño de PCB


• Competencia técnica - tener acceso a un experto tiene una ventaja más significativa
cuando se diseñan los PCB.
• Proceso racionalizado - con los servicios de diseño de PCB, existe la posibilidad de
detectar errores y asegurar la mejora de los productos antes de su comercialización.
• Mejor calidad - sin duda, los servicios de diseño son de mejor calidad debido a la
competencia.

81
Electrónica Analógica Y Digital

• Rápido tiempo de respuesta - con un especialista, puede estar seguro de la


producción de los PCB a tiempo sobre la base de su cuidadosa planificación.
• Barato - esto es simple. Es más rentable subcontratar que emprender la fabricación
por sí mismo.

Cómo Diseñar un Diseño de PCB


En su forma básica, los diseños de PCB son medios de transferir un circuito de una
placa de circuitos impresos para que se convierta en una forma física permanente y
estable. El diseño de un diseño de PCB implica lo siguiente:

Los esquemas
Un esquema es un diagrama de componentes, circuitos y conexiones dispuesto de
forma que sea fácil de seguir. Cuando se diseñan diseños de PCB, siempre es esencial
comenzar con los esquemas. Los esquemas ayudan a construir y comprender el
sistema de circuitos.

Señales de alta frecuencia


Los PCB que tienden a soportar señales de alta frecuencia exigen requisitos especiales.
Muchas de las interfaces utilizadas hoy en día funcionan a más de 50 MHz, lo que
significa que es esencial tener algún conocimiento sobre cómo evitar los problemas
relacionados con las señales de alta velocidad. En las altas frecuencias, los diseños de
los PCB se basan en la comprensión de la física del flujo de electrones.

El enrutamiento de la señal y la colocación de los componentes


Cuando se trata del enrutamiento de la señal y la colocación de los componentes, todo
lo que necesitas saber es donde la señal y las corrientes fluirán en tu PCB. Necesitas
mantener tus señales digitales lo más lejos posible de las señales analógicas. Los
circuitos analógicos tienden a ser sensibles a las señales digitales, un aspecto que
puede introducir ruido en el lado analógico.

Una obra de arte y ciencia


Con la tecnología que continúa avanzando a velocidades sin precedentes, la frecuencia
de las señales se ha vuelto muy rápida. Por lo tanto, la necesidad de entender la
propagación de la señal es una gran necesidad. Es necesario cerrar la brecha entre los
diseños analógicos y digitales. Ambas disciplinas se superponen notablemente a muy
altas velocidades, convirtiéndolas en un arte y una ciencia.

Software de Diseño de PCB


Los siguientes son algunos de los programas de diseño de PCB más comunes:
• Autodesk Eagle - este es uno de los conocidos esquemas y software de diseño de
PCB hasta ahora. Contiene un editor de esquemas destinado a diseñar diagramas

82
Electrónica Analógica Y Digital

y un editor de diseño de PCB.

• KiCAD - es una suite de automatización electrónica multiplataforma y de código


abierto. Incluye un editor de PCB para producir PCBs profesionales y un editor de
esquemas para editar y crear diseños esquemáticos.
• Fritzing - este es el mismo que KiCAD. Incluye un esquema, una disposición de la
placa de circuitos impresos y una vista del PCB.
• DesignSpark PCB - éste proporciona un entorno fácil de aprender que consiste en
la captura de esquemas y una herramienta de diseño de PCB.
• EasyEDA - este proporciona una de las capturas de esquemas más potentes
además de consistir en un diseñador de biblioteca, un editor de PCB y una
herramienta de gestión de proyectos.
• UpVerter - esto es lo mismo que EasyEDA. Permite a los ingenieros de hardware
crear, compartir y revisar PCBs y esquemas.
• PCBWeb Designer - es una aplicación CAD gratuita destinada a diseñar y producir
electrónica. Consiste en la captura de esquemas y un catálogo de piezas de flecha
integrado.
• ExpressPCB Plus - es un software EDA destinado a la creación y posterior diseño
de circuitos electrónicos.
• TinyCAD - este es un proyecto de software de código abierto que soporta librerías
de símbolos estándar y personalizadas. Soporta programas de diseño de PCB que
consisten en varios formatos.
• Osmond PCB - es una herramienta EDA basada en MAC que soporta la captura de
esquemas y el diseño de PCB.

Materiales Base para Placas de Circuito Impreso


El estándar establecido para los materiales para hacer una placa de circuito impreso
(PCB) son láminas de cobre, laminados, laminados revestidos de cobre y tela de etapa
B impregnada con resina (Pre-preg).

Los materiales enumerados anteriormente son los materiales más comúnmente


solicitados por los fabricantes para producir PCB. Se pueden utilizar otros materiales y
procedimientos de fabricación exóticos para fabricar los PCB específicos.

Los laminados son el material de elección debido a sus propiedades únicas, lo que hace
que la placa tenga una potencia y un plano de tierra excelentes y eficientes. La lámina
de cobre es para asegurar que el circuito tenga un medio para transportar señales
eléctricas a través de un metal con alta conductividad eléctrica. La tela impregnada de
resina asegura que la placa de circuito completa debe tener un grosor uniforme.

Varios tipos de materiales de PCB se diferencian por factores eléctricos, térmicos y


físicos. Incluyen factores como la resistencia dieléctrica y constante, la resistencia a la
tracción y la capacidad térmica. Todos estos factores determinan la capacidad de un

83
Electrónica Analógica Y Digital

PCB para soportar ciertas funciones y aplicaciones. A continuación se indican los tipos
de materiales de PCB más comunes:

FR-4: FR significa Resistente al fuego, mientras que cuatro significa los cuatro tipos de
materiales utilizados. El contenido del FR-4 es uno de los materiales PCB más
utilizados, que consiste en un vidrio resistente al fuego con una lámina de epoxi
reforzada.

Tablero de aluminio: Estos tienen la misma disposición, como cualquier otro PCB. Sin
embargo, consiste en capas de máscara de soldadura, cobre y serigrafía sobre ella.
También incluye un sustrato de metal en lugar de un sustrato de plástico.

Laminado revestido de cobre (CCL): El CCL es la base del material de la placa de


circuito impreso bajo la máscara de soldadura. El Laminado Revestido de Cobre
comprende una fina capa hecha de un sustrato no conductor y una lámina de cobre
conductor que proporciona resistencia mecánica.

Material de la placa de circuito impreso Rogers:


Generalmente se asocian con bajas pérdidas eléctricas, pérdidas dieléctricas más
moderadas, fabricación rentable y una amplia gama de valores DK. El material Rogers
encuentra un uso sustancial en la fabricación de PCB.

Propiedades de los materiales de los PCB


Propiedades térmicas
Estas son las propiedades del material de los PCB que están relacionadas con su
capacidad de conducir el calor de manera efectiva. En un PCB, los componentes de las
propiedades térmicas significativas incluyen capacidad de calor, estrés térmico,
conductividad térmica y expansión térmica.

Propiedades eléctricas
Las propiedades eléctricas de la mayoría de los materiales de los PCB están entre 2,5
y 4,5. Sin embargo, la constante dieléctrica varía con la frecuencia y tiende a disminuir
a medida que aumenta la frecuencia. Algunos materiales de PCB tienen muy pocos
cambios en la permitividad relativa en comparación con otros.

Guía de selección de materiales de PCB


Consideraciones de calidad
La consideración de la calidad es primordial al seleccionar los materiales de los PCB.
Independientemente de dónde sirva su PCB, los documentos deben cumplir los
requisitos previstos. El tablero no debe fallar rápidamente debido a la tensión física. No
comprometerá las piezas fundamentales del PCB original con materiales de PCB
duraderos, especialmente al realizar actualizaciones de hardware.

84
Electrónica Analógica Y Digital

Consideraciones sobre el costo


El costo de los materiales de los PCB es muy importante. Muchos diseñadores utilizan
lengüetas de oro o de soldadura. Sin embargo, las lengüetas de oro son un poco caras.
Cuando se trata de vías enterradas y ciegas, las vías enterradas tienden a ser más
baratas. Por último, considerando el espaciado de líneas y anchos ya sea por encima o
por debajo de seis milímetros, el espaciado por encima de seis milímetros implica
mayores costos.

Consideraciones sobre la energía y el calor


La energía y el calor son algunos de los factores más críticos que determinan la
fiabilidad y la utilidad de un PCB. Para estar seguros, asegúrense de comprobar las
capacidades térmicas de sus placas elegidas.

Figura N° 78: calidad, el costo y la potencia de los materiales

Materiales de los sustratos de los PCB - ¿Qué tipo es el adecuado para su PCB?
Los materiales que seleccione para su PCB tendrán un impacto en la funcionalidad de
su producto. Elegir los mejores materiales de sustrato para el PCB puede tener un
amplio impacto en la durabilidad, el rendimiento y muchas otras características del
tablero. A continuación, le indicamos cómo seleccionar los mejores materiales para su
placa.

Requisitos de la lámina de cobre


¿Alguna vez se ha encontrado con el término HDI PCB? Si no, significa Circuito Impreso
de Interconexión de Alta Densidad. Los PCBs requieren láminas de cobre para su
funcionalidad práctica. Una lámina de cobre es un material electrolítico nocivo que se

85
Electrónica Analógica Y Digital

puede encontrar en la capa base del circuito. La lámina de cobre actúa como conductor
de una placa de circuito impreso.

Cómo la rugosidad de la superficie puede afectar a la calidad de su PCB


Si quieres tener un PCB apropiado, debes considerar asuntos relacionados con la
rugosidad de la superficie de la lámina de cobre. El desbaste asegura que los
conductores tengan resistencia al despegue y que la unión de la lámina de cobre y el
material del sustrato sea óptima. Hoy en día, la rugosidad estándar está alrededor de
5μm. Para aumentar la resistencia al pelado, puede que tengas que incrustar una joroba
en la lámina en el sustrato para asegurar que la severidad se mantenga lo más baja
posible.

Laminados Dieléctricos Aislantes


El proceso de acumulación es una propiedad esencial de los PCB del HDI. En caso de
que se recurra a la utilización de cobre revestido con resina o a una combinación de
laminación de láminas de cobre y tela de vidrio epoxi, se puede diseñar un hermoso
circuito.
Hoy en día, los fabricantes implementan las tecnologías SAP y MSPA. Esto ha dado
lugar a una generación de planos de conducción de cobre mediante la aplicación de
cobre químico con laminación de película dieléctrica aislante. El fino plano de cobre es
la principal razón por la que los fabricantes pueden producir hermosos circuitos.

Por qué el material dieléctrico de laminación es crucial para el SAP


Si vas a diseñar HDI PCB, entonces necesitas tener en cuenta los materiales de
laminación y sus requisitos. Hay que tener en cuenta la capacidad térmica, el
rendimiento dieléctrico, la unión y el aislamiento.
En los PCB multicapa, los materiales del sustrato deben estar en condiciones de ayudar
a reducir el coeficiente de expansión térmica además de la propiedad dieléctrica y, al
mismo tiempo, asegurar una resistencia óptima al calor. Estas propiedades hacen que
la elección del material del sustrato sea correcta, ya que pueden alcanzar todos los
objetivos de rendimiento a un coste aceptable.
Cuando el espacio y el ancho de los circuitos están por debajo de 10μm, no hay otra
opción que utilizar la tecnología SAP al fabricarlos. En la producción a gran escala, la
tecnología MSPA entra para usar una fina lámina de cobre para aislar la laminación
dieléctrica.

Por qué la alta velocidad y la alta frecuencia son importantes para su PCB
La tecnología continúa evolucionando cada día, con innovaciones como las conexiones
inalámbricas, las altas frecuencias y las altas velocidades que son ejemplos adecuados
de las últimas innovaciones tecnológicas. Los diseños de alta velocidad son el tema de
casi todos los expertos de la industria.
Hay una posibilidad de hacer una diferencia entre:
• Los PCBs laminados de Dk/Df medio tienen Df que no supera los 0,010, y Dk de un
máximo de 4.

86
Electrónica Analógica Y Digital

• Los PCBs laminados de baja Dk/Df - tienen un Df de menos de 0,005 con un Dk de


no más de 3,7.

Tipos de materiales de sustrato utilizables en los PCB de alta frecuencia?


Puede encontrar los mejores materiales para utilizar en uno de los siguientes:
• Resina de serie fluorada - los materiales como el PTFE consisten en el mejor
rendimiento dieléctrico y una gran elección, especialmente si se requiere una
frecuencia de al menos 5GHz. Aunque son un poco costosos, son los mejores ya
que tienen un alto coeficiente de expansión térmica.
• PPE y resina PPO - es una opción adecuada para frecuencias de 1-10GHz. Estos
aseguran un excelente rendimiento general de su PCB.
• Resina epoxi modificada - son una excelente opción para frecuencias entre 1GHz y
10GHz. En general, son asequibles y una razón de por qué son tan populares.

Requisitos térmicos de los PCB


Como portador de componentes, un PCB es el principal componente destinado a lograr
una gestión térmica coherente. De antemano, los diseñadores deben tener en cuenta
las siguientes consideraciones térmicas primarias:
• El espacio disponible y los periféricos de montaje
• La cantidad de calor y la pérdida de energía
• Cómo conducir el calor al radiador
• Las fuentes de calor en el PCB
• Requisitos de fiabilidad, como la estabilidad del ciclo
• Temperaturas de aplicación y temperaturas ambientales

Figura N° 79: requisitos térmicos

87
Electrónica Analógica Y Digital

¿Cuál sería el material más adecuado para la conductividad del calor?


Cuando se quiere combinar una excelente conductividad de calor, un costo óptimo y
disponibilidad, el material debe ser el aluminio. Mientras que el aluminio tiende a ser
barato, también puede aportar una excelente conexión eléctrica, asegurando al mismo
tiempo que todo esté libre de soldadura y plomo.

Cómo elegir los materiales de los sustratos del PCB


Hay tres opciones diferentes de PCB que puedes elegir: rígidos, flexibles o rígido-
flexibles. El objetivo es elegir un tablero que sea perfecto para su producto. Mucha gente
opta por una forma y un tamaño compactos, aunque se olvidan del rendimiento.
La poliimida es una elección inteligente, ya que es versátil y puede encajar en diferentes
categorías, como la negra, la transparente y la blanca. Además, asegura un bajo
coeficiente de expansión térmica y, al mismo tiempo, mantiene una resistencia
adecuada a las cuestiones relacionadas con el calor.
El sustrato de Mylar tiende a ser altamente elástico además de tener una excelente
resistencia a varios factores ambientales. Además, es relativamente asequible, razón
por la cual la mayoría de los usuarios lo utilizan.
En el caso de los tableros flexibles, el politetrafluoroetileno y el sustrato de poliimida
avanzada son el mejor tipo de material para utilizar -el PCB flexible utilizado en muchas
industrias, como los teléfonos inteligentes, los aparatos y la industria médica.

¿Dónde se fabrican los PCB?


¿Le resulta difícil seleccionar el mejor fabricante de PCB? No se preocupe. Nuestro
PCB es la mejor opción para fabricar y ensamblar PCB. Tenemos más de una década
de experiencia y contamos con más de 2.500 clientes en todo el mundo en este
momento.
Nuestros ingenieros están capacitados, tienen experiencia y utilizan equipos de última
generación para satisfacer las necesidades de los clientes. Con nosotros, usted puede
tener tableros hechos a medida. ¿Necesita placas rígidas o flexibles? Podemos hacer
el tipo de placa que desee para usted de la forma que desee.

PCB de aluminio - Cinco cosas que debe saber al principio


Los PCBs consisten en varios metales y el aluminio es uno de ellos. Los PCBs de
aluminio son los más populares en el mercado de los circuitos impresos con núcleo de
metal (MCPCBs). Esa es la razón por la que hoy en día se utilizan en muchas
aplicaciones. Esta sección es una discusión detallada sobre los PCB de aluminio.

La evolución de los PCB de aluminio


En la década de 1850, los primeros PCB llegaron al mercado con tiras y varillas de metal
que conectaban componentes eléctricos importantes en bases de madera. Con el
tiempo, los cables conectados a los tornillos comenzaron a reemplazar los chasis y las
tiras de metal en las bases de madera.

88
Electrónica Analógica Y Digital

Con el aumento de la demanda, Charles Ducas fabricó una plantilla de tintas


conductoras. Podía "imprimir" algunas de las trayectorias eléctricas más impresionantes
en superficies aisladas. En 1925, presentó una patente que dio lugar a la frase
"cableado impreso" y "circuito impreso".
Los años 70 fueron testigos de un uso extensivo de los PCB de aluminio y en los últimos
años se ha visto un pico en los PCB de aluminio, especialmente en la industria de los
LED. Hoy en día, casi todos los fabricantes de componentes electrónicos confían en los
PCB de aluminio basándose en varias ventajas que ofrecen.

Ventajas y desventajas de los PCB de aluminio


En comparación con otros PCB, los PCB de aluminio tienen muchas ventajas.

Ventajas
Aquí están algunos de sus beneficios:
• Son baratos - comparado con diferentes tipos de metal, el aluminio tiende a ser
menos costoso. Además, puede soportar varias condiciones climáticas, lo que
significa que es fácil de extraer y refinar.
• Amigable con el medio ambiente - además de que el aluminio no es un metal tóxico,
es altamente reciclable. Trae consigo un planeta saludable.
• Impresionante transferencia de calor - las altas temperaturas provocan daños
masivos, especialmente en los componentes electrónicos. Sin embargo, el aluminio
es un excelente material para conducir y transferir la temperatura o el calor para
reducir el costo del PCB.
• Ligero y duradero - el aluminio es un metal ligero, duradero y delgado comparado
con la cerámica y la fibra de vidrio.
• Radiación de calor: los PCB de aluminio también son excelentes en lo que respecta
a la radiación de calor y a su mayor resistencia.

Desventajas de los PCB de aluminio


• Aunque hay muchas ventajas, hay algunas que vale la pena señalar con los PCB de
aluminio.
• En primer lugar, el proceso de fabricación de los PCB de aluminio es un poco único
en comparación con otros tipos de PCB.
• En segundo lugar, no es posible fabricar PCBs de doble cara usando metal de
aluminio. La corriente principal disponible actualmente en el mercado puede lograr
hacer sólo PCB de aluminio sencillos.
• En tercer y último lugar, hay una alta probabilidad de que los PCB de aluminio se
enfrenten a problemas de fuerza eléctrica y presión, aunque no tanto.

Proceso de fabricación de los PCB de aluminio


El proceso de fabricación de los PCB de aluminio es un poco único. La diferencia que
separa la fabricación convencional de PCB de la fabricación de PCB de aluminio es sólo
el paso de la molienda química. Cuando se fabrica un PCB de aluminio, hay que añadir
cuidadosamente una frágil capa de dieléctrico entre el circuito y las capas de base.

89
Electrónica Analógica Y Digital

Después de añadir la capa dieléctrica, tienes que grabar la lámina de cobre. El grabado
le permite obtener el patrón que desee. Como puedes ver, el proceso de fabricación de
los PCB de aluminio tiende a ser una tarea difícil.

Figura N° 80: (Un PCB de aluminio que lleva luces LED)

Tipos de PCB de aluminio


Hay varios tipos de PCB de aluminio como se menciona a continuación:
• PCB de aluminio de agujero pasante
• PCB de aluminio flexible
• Híbrido de aluminio PCB

Aplicaciones de los PCB de aluminio


Los PCB de aluminio encuentran aplicación en varias formas, especialmente en lugares
o condiciones con altas necesidades de disipación de calor. Lo más común es que se
puedan utilizar en las siguientes áreas:
• Iluminación general
• Los semáforos
• Controladores de motor
• Aplicaciones de automoción Escáneres de alta potencia
• Balizas de señales
• Convertidores de potencia, salpicaderos de automóviles

Fr1 PCB-8 Diferencias en los materiales FR1, FR2, FR3 y FR4


La composición de los PCB es de diferentes materiales, como FR1, FR2, FR3, FR4, y
muchos otros elementos. ¿Pero cuál es la diferencia entre estos materiales? A
continuación hay una breve explicación de las diferencias.

90
Electrónica Analógica Y Digital

FR4: El tipo de material más utilizado


FR significa Retardante de Llama. FR4 es el material más popular entre los diseñadores
de PCB e ideal para pasar por los agujeros. Es ideal para fabricar PCB de todas las
capas. Además, es adecuado para aplicaciones que requieren una flexión regular.

Diferencias en la resistencia a altas temperaturas


Dependiendo de las diferentes condiciones, los PCB requerirán diferentes tipos de
materiales. Por ejemplo, los materiales utilizados en aplicaciones militares no son los
mismos que los de la electrónica. Algunos materiales son ideales para condiciones de
alta temperatura mientras que otros no lo son.

La composición material de la combinación principal


La composición de los materiales es un enorme factor diferenciador entre todos los PCB
de FR, ya que hay varios materiales. Los materiales FR1, FR2, FR3 y FR4 se diferencian
por su fuerza de calor eléctrico, su resistencia al calor y sus propiedades térmicas, entre
otras. Por ejemplo, el contenido de FR3 consiste en el aglutinante de resina epoxídica,
mientras que el de FR2 consiste en la resina fenólica.

Rentabilidad
Mientras se producen los PCB, algunos materiales tienden a ser más baratos que otros.
Los costos son un factor enorme que puede afectar el precio final de un PCB. Muchos
productores de PCB prefieren utilizar material FR4 ya que es rentable.

Diferencias entre el FR3 y el FR2


Hay diferencias notables entre los materiales FR2 y FR3. Por ejemplo, el papel grueso
y la resina fenólica son el material que se encuentra en el FR2. Además, el FR2 es
adecuado para PCBs de una sola capa, ya que son ideales para pasar a través de
agujeros.

Materiales adecuados para la tecnología de agujeros pasantes


Muchos diseñadores de PCB que intentan usar materiales FR1, FR2, y FR3 y
posteriormente los someten a un agujero pasante no obtienen los resultados esperados.
Sin embargo, aquellos que utilizan PCB hechos de FR4 encuentran los mejores
resultados, lo que significa que el material FR4 es el mejor en tecnología de paso por el
agujero.

Materiales adecuados para placas multicapa


Los fabricantes pueden usar materiales FR1, FR2 y FR3 para fabricar PCB. Sin
embargo, muchos de ellos prefieren usar equipos FR4. Los PCB con materiales FR4
son estables y fiables.

91
Electrónica Analógica Y Digital

Una introducción única a los materiales FR4


El FR4 es uno de los materiales más populares en la fabricación de PCB. Los materiales
FR4 son duraderos, rentables y resistentes a la humedad. También son ligeros y tienen
una alta resistencia dieléctrica. No absorbe agua y tiene las mejores propiedades de
pérdida de electricidad.

Método de tratamiento de la superficie de los PCB

Estañado de PCB - ¿Cómo puedo conseguir el mejor efecto en el PCB?


El cobre es un conductor frecuente que utiliza los PCB, ya que mantiene una carga
adecuada para alimentar correctamente el PCB. Pero cuando no está protegido, puede
oxidarse. Sin embargo, con el estañado del PCB, se evita este tipo de escenario.

Figura N° 81: primer plano de un PCB que ha sido estañado

Estañado de PCB
El estañado consiste en recubrir las trazas de cobre utilizando capas de estaño para
evitar que se oxiden, degraden o corroan. El estañado también ayuda a proteger los
componentes críticos durante el grabado.

Beneficios del estañado de los PCB


• Simplifica todo el proceso de fabricación, al tiempo que evita el grabado no deseado.
• También es ideal cuando hay la participación de un puente de alta corriente.
• También simplifica el riesgo de corrosión en una placa de circuito impreso.

¿Es posible realizar el estañado de PCB desde cero?


Contrariamente a la creencia popular, es posible estañar los PCB desde cero. Se
requiere esfuerzo y algún conocimiento en química para formar el estaño. El estañado

92
Electrónica Analógica Y Digital

de PCB es lo mismo que la fabricación de PCB desde cero con la combinación de los
mejores materiales. Antes de explorar los métodos del PCB desde cero, es útil
comprender el proceso de estañado del PCB.

El proceso de estañado de PCB


• Cómo hacer el estañado de PCB
El estañado del PCB utiliza estaño. La cuestión es cómo usar este estaño para
enmascarar los rastros de cobre en un PCB. aunque utiliza un contenedor soldado. El
estaño soldado es una mezcla de estaño y plomo, mezclado en una proporción de
40:60.
• El método de electrodeposición del estañado del PCB
Hay muchos medios para estañar físicamente un PCB. Sin embargo, la galvanoplastia
es uno de los métodos más comunes. Después de la producción de un PCB, sigue el
segundo revestimiento de estaño. Para crear la segunda capa de estaño, es necesario
soldar más. Al igual que el cobre, el estaño se oxida en el momento en que se expone
a los ambientes externos. La aplicación de una máscara de soldadura asegura que la
placa de circuito no se oxide.

Composición del material de las soluciones de estañado del PCB


Las soluciones de estañado consisten en varios materiales. Algunos de estos materiales
son algunos de los artículos cotidianos que se pueden comprar localmente. Para
asegurarse de que sus PCB son los mejores, necesita obtener los materiales de los
especialistas en estañado de PCB.
No todos estos materiales son necesarios para hacer una solución de estañado. Sin
embargo, usted necesita elegir las mejores soluciones de estañado. Dado que en las
soluciones de estañado intervienen muchas variables, hay varias formas de desarrollar
soluciones de estañado de PCB a partir de materiales selectos.

Tiourea - El ingrediente esencial para el estañado de PCB


La tiourea es uno de los ingredientes esenciales para el estañado de PCB. La tiourea
es una combinación de muchos materiales para crear una solución de estañado. Sin
extraer la tiourea del pulido de plata, entonces hacer el pulido de estaño desde cero no
es fácil. La tiourea es un compuesto activo. Es un ingrediente esencial cuando se trata
de estañar PCB.

Otros ingredientes que ayudarán a crear una solución de estañado


Además de la tiourea, otros elementos pueden ayudar a crear una solución de
estañado. Algunos de estos materiales incluyen el ácido clorhídrico, también conocido
como ácido muriático. El sólido libre de plomo es también otro ingrediente mejor para la
creación de una solución estañadora.

Crear las habilidades necesarias para las soluciones de estañado


Se necesitan algunas habilidades durante la creación de soluciones de estañado.
Mientras que algunos "expertos" pueden mentirle que la creación de soluciones de

93
Electrónica Analógica Y Digital

estañado no requiere ninguna habilidad, este no es el caso. Necesitará algunos


conocimientos y habilidades. Por ejemplo, necesitarás las habilidades químicas
necesarias para fabricar tus PCB y soluciones de estañado.

Estañado de PCB de bricolaje


El estañado de los PCB no es un proceso complicado, siempre que se tengan los
materiales adecuados para llevar a cabo todo el proceso de estañado. Es necesario
tomar un par de precauciones si decide desarrollar una solución de estañado usted
mismo y el visto bueno para aplicarla a sus PCB caseros. Las siguientes son algunas
de las cosas esenciales que hay que tener en cuenta cuando se quiere desarrollar una
solución de estañado.

Cosas a las que hay que prestar atención


Cuando se trata de PCB estañado, debe tomar ciertas precauciones. Hay algunos
peligros de los que puede querer estar atento en todo momento.

¿Cuánto cuesta hacer el bricolaje con PCB estañado?


Los costes son importantes cuando se trata de estañar PCB. Por ejemplo, puedes
comprar un pulidor de plata por unos 10 dólares. En su estado de solución natural,
puedes comprar tiourea por un poco más de 50 dólares. Si tienes intención de hacer el
PCB por tu cuenta, prepárate para gastar algo más de 100 dólares.

¿Es necesario el estañado del PCB?


El estañado del PCB reduce la presión y evita que el cobre en el interior se oxide.
Además, el estañado asegura la longevidad de sus PCB. Además, el estañado del PCB
mejora la corriente a lo largo de las pistas del PCB, lo que le permite funcionar y rendir
de forma consistente.

El peso del cobre del PCB - La guía definitiva de cómo aplicarlo perfectamente
Los PCB son algunos de los componentes electrónicos más complicados,
especialmente cuando se trata de cuestiones relacionadas con el peso. El cobre es la
materia prima aquí, y es un poco difícil medir y calcular el valor del cobre. Esta sección
se centra en el peso del cobre de los PCB.

94
Electrónica Analógica Y Digital

Figura N° 82: (Detalles de cobre en una placa de circuito impreso)

¿Cuál es el peso del cobre del PCB?


Es simple; es el peso total del material de cobre usado en el PCB. Hay muchos factores
que pueden contribuir a la importancia del cobre en un PCB.

El cobre es una aleación


Lo que lo convierte en un conductor y el más común en la fabricación de PCBs. El grado
y la forma del cobre determinan el peso del PCB. Lo peor es la aplicación del cobre a
un circuito impreso siguiendo unos cálculos poco claros.

Consideraciones de diseño con el cobre pesado


El cobre pesado tiene un espesor de hasta 19 onzas por pie cuadrado. Últimamente, el
uso del cobre pesado parece demasiado familiar y extendido. La razón de esto es
porque da más opciones a la hora de diseñar un PCB. El cobre pesado también es
versátil durante la producción de un PCB.

Cobre estándar
Cualquier recubrimiento de cobre que sea ¼ de una onza por pie cuadrado a 4 onzas
por pie cuadrado entra en la categoría de cobre estándar. El cobre estándar tiene un
riesgo muy bajo de fallar al revestir un PCB que lo usa.

Cobre pesado
Todo el cobre de entre 5 y 19 onzas por pie cuadrado entra en la categoría de cobre
pesado. Aunque es difícil de fabricar, son superiores al cobre estándar.

95
Electrónica Analógica Y Digital

Cobre EXTREMO
Todo el cobre de 20 a 200 onzas cae en la categoría de cobre extremo. Hasta hace una
década, los probadores estaban de acuerdo en la posibilidad de usar cobre EXTREMO
en un PCB.

Peso de la base del PCB vs. espesor del revestimiento de cobre


Determinarás el grosor del revestimiento en el momento en que calientes o enfríes el
cobre a ciertos niveles. Lamentablemente, los fabricantes no tienen en cuenta el rastro
y la temperatura al determinar el ancho de la placa. Aquí, exploramos el proceso de
revestimiento de cobre y cómo calcular el peso del cobre de forma efectiva.

¿Cómo se calcula el peso del cobre del PCB? - La calculadora de peso del cobre
El cobre y las aleaciones de cobre tienen sus grados. Los grados de cobre puro
comienzan con "C" seguido de algunos dígitos. Muchos fabricantes utilizan el cobre de
grado C110. La forma del cobre también importa mucho. Las barras redondas son las
mejores.

Cobre pesado y Cobre EXTREMO en el diseño del PCB


El cobre pesado y EXTREMO es el mejor durante el diseño del PCB. Durante la
soldadura, funcionan bien sin causar ningún efecto perjudicial en el PCB. Además,
también duran más y hacen que la creación de los PCB sea un poco más complicada.

Cómo afecta el peso del cobre a la fabricación de PCB


El peso del cobre afecta a la fabricación de PCB de varias maneras. Por ejemplo,
equivale a un PCB eficiente además de un tablero de mejor aspecto. Además, es más
barato y más rápido fabricar cobre pesado y EXTREMO en comparación con el cobre
estándar.

Ventajas de la placa de oro por inmersión PCB

Hay ventajas de la inmersión de oro PCB que vale la pena señalar. A continuación se
enumeran 13 de esas ventajas:

1. Estructura fácil de procesar


Una ventaja significativa asociada a ella es que es un mecanismo muy natural de llevar
a cabo. Es un proceso sencillo que no requiere de complicados tecnicismos.

2. Superficie plana

ENIG es la mejor solución cuando se trabaja con componentes de superficies


complicadas y requisitos sin plomo que requieren una superficie plana, como los flip
chips y BGAs. Pero la capacidad de encajar en una superficie plana es una ventaja
significativa de ENIG.

96
Electrónica Analógica Y Digital

3. Buena resistencia a la oxidación


Los acabados de la superficie de ENIG son excelentes en cuanto a la resistencia a la
oxidación. Los acabados superficiales ENIG contienen un recubrimiento de níquel que
consiste en finas capas de oro por inmersión que protegen el níquel de los casos de
oxidación.

4. Buen rendimiento eléctrico


Cuando se trata del acabado de la superficie, el ENIG o el oro de inmersión son las
mejores opciones. La razón es que proporcionan un excelente rendimiento eléctrico.

5. Resistencia a altas temperaturas


Especialmente aquellos que van a aplicaciones médicas y militares, los PCBs deben
soportar condiciones de alta temperatura para ENIG vs. HASL. Es mejor recurrir al
acabado ENIG ya que éste puede soportar condiciones de alta temperatura.

6. Larga vida útil


El tipo de acabado que los fabricantes usan en la fabricación de los PCB determinará
la vida útil del tablero. Los acabados de los PCB de ENIG son los mejores para la larga
vida útil de los PCB.

7. Adecuado para superficies no tratadas


Como diseñador, usted querría proteger las superficies no tratadas que tienden a
oxidarse inmediatamente si se dejan sin tratar. ENIG es adecuado en superficies no
tratadas como interruptores de membrana y puntos de contacto.

8. Sin plomo
La alta exposición al plomo puede provocar complicaciones de salud o incluso la muerte.
Como fabricante, no habrá exposición a productos químicos nocivos si utiliza oro de
inmersión como acabado de la superficie de un PCB.

9. Adecuado para PTH


Las partes de los agujeros de paso están formadas por cables que salen de los lados
de los equipos inferiores, permitiendo a los diseñadores pegarlos en una tabla de la
panadería y soldarlos de forma efectiva. Otra ventaja notable cuando se trata de ENIG
es que es ideal para el agujero pasante chapado.

10. ENIG no requiere de electrodeposición


ENIG no requiere fuentes externas de energía para depositar revestimientos metálicos
en el material base.

11. Fácil de Soldar


ENIG es ligeramente más suave comparado con el chapado en oro. La soldadura ENIG
no produce defectos de soldadura, a diferencia de ENEPIG o HASL.

97
Electrónica Analógica Y Digital

12. ENIG cumple con todos los requisitos de la RoHS


Las directrices de la RoHS prohíben el uso de 10 de las sustancias más venenosas en
la fabricación de dispositivos electrónicos y eléctricos. Afortunadamente, el ENIG
cumple con los requisitos fundamentales de la RoHS.

13. El PCB de ENIG encuentra uso en una variedad de productos electrónicos


ENIG encuentra aplicación en varios productos electrónicos como aparatos médicos,
prendas de vestir y placas madre de computadoras, por mencionar sólo algunos.

Figura N° 83: ( PCB verde con acabado en oro)

10 Diferencias entre los métodos de enchapado HASL y ENIG


Hay muchas diferencias entre los métodos de enchapado de HASL y ENIG. Aquí están
las diez diferencias entre los dos.

Las ventajas de HASL


Amigable con el presupuesto
El HASL es económico, ya que es posible detectar posibles problemas de cobertura de
la superficie antes del ensamblaje de los componentes del tablero.

Duradero
HASL es uno de esos revestimientos duraderos que evitan que el cobre se corroa.

98
Electrónica Analógica Y Digital

Es fácil de trabajar con


El acabado de la superficie de HASL es natural ya que presenta una perfecta
humectación durante todo el proceso.

Fácilmente accesible
El acabado HASL, a diferencia de otros tipos de acabados, viene en varias variantes y
muy fácil de acceder.

Las ventajas de ENIG


Varias ventajas vienen con ENIG. Por ejemplo, ENIG funciona bien con superficies
planas además de su capacidad para soportar tolerancias estrictas. Además, también
es libre de plomo, duradero, y viene con excelentes habilidades eléctricas.

HASL vs. ENIG


Hay diferencias notables entre HASL y ENIG. Factores como la vida útil, el paso de la
operación y el acabado de la superficie diferencian a los dos. Aquí están las diferencias
entre los dos:

Diferencia de costo entre HASL y ENIG


Mientras que HASL sigue siendo un acabado superficial popular basado en el hecho de
que es más barato que el ENIG, el ENIG también funciona bien en lo que se refiere a la
resistencia a la corrosión.

HASL y ENIG tienen diferentes pasos de operación


HASL y ENIG difieren en cuanto a su funcionamiento. Por ejemplo, a diferencia de
ENIG, HASL requiere una serie de cuchillos de aire caliente comprimido para eliminar
el exceso de soldadura.

Vida útil de HASL y ENIG


A diferencia del ENIG, el HASL no es duradero. No es adecuado para aplicaciones que
necesitan doblarse y un mínimo de golpes.

Diferencias en el tratamiento de la superficie entre HASL y ENIG


HASL viene con un acabado desigual, a diferencia de ENIG, que tiene una superficie
lisa.

Selección de placas delgadas y gruesas


Las placas ENIG tienen un espesor típico de 5-15 mínimo de oro, un aspecto que las
hace fáciles de soldar. Por otro lado, el grosor de las placas HASL llega hasta 200 mils,
lo que las hace más lentas en las conducciones eléctricas cuando se con.

99
Electrónica Analógica Y Digital

La diferencia entre sin plomo y con plomo


Tanto HASL como ENIG existen en diferentes variantes. HASL puede ser de plomo o
sin plomo. Por otro lado, ENIG puede ser de alta o baja calidad.

Diferencias en la fuerza de adhesión entre HASL y ENIG


HASL hace bien con la soldadura a mano ya que las uniones tienden a formarse
rápidamente. Por otro lado, las placas de ENIG deben ser recubiertas con oro y níquel
para lograr la unión deseada.

Soldadura y resistencia a la corrosión


El acabado HASL produce PCBs con algunos de los más altos niveles de soldabilidad.
Por otro lado, el acabado ENIG ofrece una impresionante resistencia a la oxidación para
proteger el cobre de la corrosión.

PCB Vía
Tipos de vías de PCB
A diferencia de antes, cuando teníamos PCBs que sólo utilizaban un lado, ahora los
PCBs usan ambos lados, como aprenderás más adelante.

Figura N° 84: (Una tabla de agujeros chapada)

Los tres tipos básicos de PCB-vía


Hay tres tipos de PCB a través de
• a ciegas a través de
• chapado a través de un agujero
• buried-via

100
Electrónica Analógica Y Digital

Las vías de paso son las más utilizadas, seguidas por las vías ciegas. Las vías
enterradas conectan las capas internas de una comisión. De ahí el nombre de vías
enterradas.

Ciego a través de PCB-Qué debe saber (Última introducción)


La vía es un requisito necesario para hacer la conexión necesaria entre las capas. Esto
es lo que necesitas saber con respecto a

Ciego a través del PCB


Como se ha señalado anteriormente, los diseñadores pueden elegir entre vías
enterradas, pasantes o ciegas durante el diseño de los PCB. La vía ciega a través de
PCBs consiste en aquellas placas que conectan las capas más externas con las capas
internas.

Vía ciega - La guía definitiva de la importancia de los PCB

Los vías son necesarios en los PCB para establecer patrones de conexión entre varias
capas de placas de varias capas. Pero ¿qué son las vías de carpa? ¿Tienen alguna
importancia? Aquí puede obtener la respuesta.

Qué es la Vía de Carpa


La vía es la cobertura de la vía con alguna máscara de soldadura a la piel o encerrar
sobre la abertura. Una vía es un agujero perforado en un tablero, permitiendo que se
conecten múltiples capas. La colocación de una tienda de campaña reduce las
posibilidades de que se produzcan choques y cortocircuitos eléctricos.

¿Qué es la conexión de una vía?


El taponamiento de vías implica llenar las vías con resina o cerrarlas con rellenos o una
máscara de soldadura.

Colocación de Vías VS. Vías tapadas


En las tiendas de campaña se utiliza una máscara de soldadura para cubrir las vías
mediante procedimientos como el rociado o el recubrimiento de la pantalla. Cuando se
trata de las vías tapadas, está el taponamiento de las vías, principalmente mediante el
uso de una máscara de soldadura u otro material no conductor.

Vías con soldadura


Vías llenas de cobre
Para conseguir vías llenas de cobre, los fabricantes rellenan los agujeros de paso con
cobre y resina epoxi. Las vías llenas de cobre hacen que los PCB sean más adecuados
para algunas aplicaciones específicas. Las vías de cobre también tienen otras
capacidades que otros rellenos conductores no pueden proporcionar.

101
Electrónica Analógica Y Digital

Vías conductoras Vías de llenado no conductoras


Relleno conductivo
La vía de conducción es un material que contiene una partícula de cobre recubierta de
plata y rellena con una matriz epoxídica para proporcionar conductividad térmica y
eléctrica en estado curado. Conducen el calor de forma efectiva.

Relleno no conductor
Puedes pensar que los rellenos no conductores no pueden hacer que las señales
eléctricas pasen por la vía de manera efectiva. Sin embargo, esta es una gran idea
equivocada. Los rellenos no conductores son lo mismo que las vías con máscara.

Máscara de soldadura sobre las vías


Los Vías consisten en muchos materiales, siendo la máscara de soldadura una de las
más comunes. Durante el montaje, los ingenieros aplican una máscara de soldadura
sobre las vías. La tinta usada durante la pr de la máscara de soldadura se endurece al
exponerla a la luz UV ya que el material es sensible a los rayos UV.

Vías cerradas con cobre


Este método es popular en el equipo militar y en el legado más antiguo.
Desafortunadamente, hay algunas limitaciones aquí. Por ejemplo, es un poco difícil
revestir todo el barril sin problemas y usar muchos materiales de cobre.

Mejores PCB en Eagle


Ancho de la huella del águila
En el diseño de los PCB, los anchos de traza son consideraciones esenciales ya que
determinan la cantidad de corriente que pasará a través del PCB sin recalentarlo ni
dañarlo. Afortunadamente, puedes establecer anchos de traza en tu diseño de Eagle
alterando el ancho y accediendo a tal problema de diámetro.

Tamaño de la Vía del Águila


El tamaño de la vía por defecto en Eagle es de 0,6 mm. Sin embargo, puede cambiarlos
dependiendo de su aplicación y proyecto.

Reglas de diseño de Eagle


Las reglas de diseño en el software de Eagle permiten a los diseñadores establecer
valores y parámetros de diseño de los diseños de PCB. Los diseñadores pueden
seleccionar o cambiar las reglas de diseño, como quieran.

Comprobación de la regla de diseño de Eagle


El chequeo de la regla de diseño del águila permite a los diseñadores validar sus
diseños de PCB bajo las limitaciones físicas del proceso de producción.

102
Electrónica Analógica Y Digital

Vías no cubiertas
Estas son vías que carecen de máscara de soldadura en el cañón de la vía y el anillo
anular.

Pros
• Ideal para trazas de alta corriente
• Es posible hacer pruebas a bordo teniendo un fácil acceso a ambos lados del tablero.

Contras
• Las vías pueden oxidarse fácilmente cuando se dejan expuestas.
• Hay una probabilidad significativa en la ocurrencia de los cortos.
• Las salpicaduras de soldadura y los cortos de soldadura pueden ocurrir durante la
soldadura por onda.

Vía en la almohadilla
Vía in Pads ofrece algunos beneficios sobre los agujeros ciegos o los agujeros
pasantes, ya que aportan una mayor precisión. Via in Pads también es eficiente en
cuanto a la disipación de calor y hace una excelente gestión térmica. También
proporciona una superficie uniforme y minimiza el área del PCB.

Vía en tapones
El taponamiento es una necesidad si se quiere hacer agujeros para conectar diferentes
capas de un PCB en última instancia. La mayoría de los ingenieros utilizan no
conductores como máscara de soldadura, para promover las vías. Otros prefieren los
rellenos conductores como el cobre para llevar a cabo la conexión.

Grabado de PCB
Grabado del PCB: cómo lograr el grabado perfecto del PCB
El grabado del PCB es una tarea que es mejor dejar a los expertos. Si lo haces mal,
entonces puedes acabar estropeándolo todo por completo. Pero de nuevo, grabar los
PCB es una tarea que puedes hacer desde la comodidad de tu casa. A continuación te
explicamos cómo lograr un grabado perfecto de los PCB.

103
Electrónica Analógica Y Digital

Figura N° 85: (Cómo hacer el grabado ideal)

Materiales y herramientas necesarias


Algunos de los materiales y herramientas que necesitarás incluyen
• una impresora láser
• máquina de perforación
• hierro de la tela
• papel de lija
• lana de acero
• marcador permanente
• guantes
• soldador
• papel brillante
• Cloruro férrico

Pasos para el grabado de PCB en el bricolaje


Paso 1: Tomar una impresión del diseño de la placa de circuito
Usa un papel brillante y una impresora láser para hacer esto mientras te aseguras de
hacer la impresión en el lado brillante del artículo.

Paso 2: Cortar cuidadosamente la placa de cobre destinada a la placa de circuito


Hazlo usando una sierra o un cortador. Use papel de lija para deshacerse de la capa
superior de óxido de cobre y fotorresistente.

Paso 3: Haga una transferencia de la impresión de su PCB sobre la placa de cobre.


Esboza la placa de cobre con un lápiz y luego usa una permanente para trazar sobre
ella.

104
Electrónica Analógica Y Digital

Paso 4: Sobre tu placa de PCB, plancha el circuito desde el papel


Para lograrlo, hay que colocar el papel fotográfico y la tabla sobre una superficie de
madera limpia. Sostén un extremo del artículo con unos alicates para mantenerlo firme
antes de poner el paño de hierro caliente en el otro extremo. El calor de la plancha
transferirá toda la tinta del papel brillante a la placa de cobre. Retire la forma
suavemente pelándola.

Paso 5: Grabado de la plancha


Primero, ponte los guantes de goma. Después de eso, coloque trozos de periódico en
el fondo para evitar casos de derrame. En segundo lugar, busque un bol de plástico,
llénelo de agua y disuelva 2-3 cucharaditas de polvo de cloruro férrico. Tercero y último,
sumerge el PCB en la solución de grabado durante tres minutos. Retire el cobre no
deseado, un proceso que llamamos grabado.

Paso 6: Limpieza y toques finales


Deshazte de la solución de grabado y otros productos no deseados de la manera
correcta. Tengan en cuenta el medio ambiente.

Medidas de seguridad al realizar el grabado de PCB


La seguridad es primordial al realizar el grabado de los PCB. Al hacer este ejercicio,
asegúrese de ponerse un equipo de protección como guantes, una bata de laboratorio
y gafas de protección. Además, asegúrese de que tiene una caja de primeros auxilios,
una estación de lavado de ojos, y los números de emergencia.

Desafíos de la creación/construcción de PCB a mano


Varios desafíos acompañan al grabado de los PCB a mano. Por ejemplo, puede
terminar siendo un proceso largo. En segundo lugar, los errores de grabado son
inevitables y se producen rápidamente. Por último, puede ser difícil encontrar el tablero
deseado que funcione como se pretende.

10 Desventajas que deben considerarse si se desea grabar el PCB en casa


Si bien el grabado de PCB en casa es divertido, a continuación se presentan algunas
de sus desventajas:
• No hay equipo profesional de grabado de PCB
• Puede que le falte equipo esencial como guantes o cloruro férrico en casa, un
aspecto que puede hacer que todo el proceso sea inútil.
• Control de tiempo y temperatura del reactivo de grabado del PCB
• Los reactivos de grabado deben permanecer a ciertas temperaturas específicas, un
desafío que se encuentra principalmente cuando se graba en casa.
• Es fácil perder el brillo de la superficie del PCB.
• Al buscar los servicios de los expertos, tiene una alta probabilidad de obtener PCB
que contengan un acabado brillante de alta calidad.
• Grabado del PCB y funciones relacionadas con el PCB

105
Electrónica Analógica Y Digital

• El PCB está en relación directa con la función del tablero. Desafortunadamente, el


grabado en casa no parece considerar eso.
• El complejo proceso de grabado del PCB
• Cuando se hace mal (sobre todo en casa), se puede dañar la tabla, ya que el
grabado es un proceso complejo que es mejor dejarlo en manos de profesionales.

Espesor para controlar


Sin duda, es difícil determinar la profundidad requerida cuando se graba en casa. El
peso, la flexibilidad y la adaptación de la impedancia es un ejercicio más adecuado para
los expertos.

Los carcinógenos producidos durante el grabado de PCB


El grabado de los PCB requiere el uso de productos químicos, la mayoría de los cuales
pueden ser perjudiciales. Al grabar en casa, puede que no haya una mejor manera de
tomar medidas de precaución.

Acortamiento de la vida del producto


La mayoría de las veces, el grabado de los PCB en casa producirá bienes que no
durarán mucho tiempo, probablemente debido a materiales de baja calidad.

El proceso de grabado de los PCB trabaja duro


Los trabajos son un reto cuando los pruebas en casa. Puede que le falte el equipo
necesario para hacer un trabajo repetido si se producen errores.

El uso de tecnología inferior es propio.


Explicativo; los expertos en manufactura usan la última tecnología comparada con la
que emplean los entusiastas del bricolaje.

Defectos de fabricación
10 razones para los defectos de fabricación de los PCB y cómo eliminarlos
La necesidad de garantizar que los fallos se encuentren en un nivel bajo de todos los
tiempos es esencial durante la fabricación de los PCB. A continuación se explican
brevemente los diez defectos de fabricación más comunes de los PCB y la forma de
eliminarlos:
• Defectos del agujero de revestimiento Fabricación de PCB
La deposición incorrecta de cobre crea vacíos y deja huecos donde falta el grabado del
cobre. Puede evitar esto limpiando a fondo sus PCB.
Falta de máscara de soldadura entre las almohadillas
Es otro problema durante la fabricación de los PCB. Se puede evitar cambiando las
reglas de diseño y reduciendo los relieves de las máscaras de soldadura.
• Problemas de compatibilidad electromagnética en la fabricación de PCB
baratos
Puede evitarlo asegurándose de minimizar el área de contacto del circuito o elegir una
producción avanzada de PCB.

106
Electrónica Analógica Y Digital

• Defectos de circuito quemado en la fabricación barata de PCB


Para evitar este escenario, asegúrese de que haya un espacio adecuado entre los
componentes para una mejor circulación del aire.
• El problema de la fuga química en la fabricación de PCB
Limpien sus tablas a fondo y comprueben si hay algún fluido sobrante durante el
proceso de producción.
• El problema del tamaño del sustrato en la producción de PCB
Sin las medidas correctas, terminará con el tamaño de sustrato equivocado. Asegúrate
de que tu fabricante toma todas las medidas correctas antes de que comience la
producción.
• Cambios en el doblado y la deformación en el proceso de fabricación de PCB
SMT

Es frustrante cuando tienes que lidiar con los PCBs doblados ya que puedes destruir
componentes esenciales tratando de restaurarlos a su forma original. Las siguientes
son las razones de los cambios en la forma y lo que hay que hacer.

Algunas razones para los cambios de forma:


Algunas de las razones para los cambios de forma incluyen el bajo curado del sustrato,
el diferente grosor de las láminas de cobre y los tableros que se enfrían rápidamente
después de fundirlos en caliente.
• Resuelve el problema:
Para resolverlo, asegúrate de que el tablero sea ligeramente más grueso y distribuye
uniformemente el cobre en el panel con la misma densidad.
• Problemas con la perforación en los servicios baratos de fabricación de PCB
Aquí, tienes que asegurarte de que usas taladros de alta calidad. Además, afila la broca
antes de usarla. También puede querer reemplazar la broca en caso de fractura.
• Defectos en la superficie del sustrato de cobre en la fabricación de PCB de
bajo volumen
Puede resolver este problema aumentando la adherencia entre la superficie de cobre y
eliminando la capa convertida si es necesario.
• La placa de circuito impreso tiene puntos blancos
La única solución aquí es una limpieza a fondo. Comienza con la humectación, seguido
por el fregado, luego el enjuague y finalmente, el secado.

107
Electrónica Analógica Y Digital

Figura N° 86: (Una placa PCB defectuosa)

Defectos de fabricación y soluciones de PCBA


Hay varios defectos de fabricación de PCBA y soluciones que vale la pena señalar. A
continuación se discute sobre los siete más comunes:

Problema de fabricación de PCBA de roturas de cable


Es un defecto de montaje estándar de la PCB que los fabricantes pueden evitar
realizando una inspección minuciosa de los cables.

Figura N° 87: (Un PCB descompuesto)

108
Electrónica Analógica Y Digital

Comprobación de clavijas y conectores


Es otro problema que los fabricantes pueden prescindir de usar una herramienta de
coincidencia de patrones para asegurar la correcta colocación de todos los conectores.

PCBA Manufacturing Open Solder Joints


La soldadura es un gran problema en el montaje de los PCB. Afortunadamente, los
fabricantes pueden eliminarlo usando el diseño correcto durante la colocación de los
componentes.

Piezas faltantes (desplazamiento de componentes)


Para eliminar estos casos, es necesario asegurarse de que se mantienen la humedad
y las temperaturas correctas, al tiempo que se minimizan los movimientos rápidos
durante el montaje.

Análisis de disipación de calor en la fabricación de PCBA


La solución a este problema es soldar correctamente el disipador de calor SMD,
especialmente las piezas de alta potencia.

Cortos de fabricación de PCBA


Los fabricantes evitan los cortos de soldadura empleando las reglas de diseño
necesarias, además de utilizar la modificación hábil de la plantilla.

Fuga de fluidos químicos


Para evitar las fugas de fluidos químicos, los fabricantes deben asegurarse de realizar
una limpieza adecuada de sus tablas.

109
Electrónica Analógica Y Digital

TAREA N°06

ELECTRÓNICA ANALÓGICA
Implementar circuitos de aplicación con circuitos integrados.

Circuitos integrados.
Circuito, con origen en el latín circuitus, es un concepto con varios usos y significados.
El término permite referirse al trayecto en curva cerrada, el recorrido que termina en el
punto de partida o el terreno ubicado dentro de un perímetro.

Integrado, por su parte, procede del verbo integrar (completar un todo con las partes
faltantes, hacer que algo pase a formar parte de un todo, constituir un todo).

En la electrónica, un circuito integrado es una combinación de elementos de un circuito


que están miniaturizados y que forman parte de un mismo chip o soporte. La noción,
por lo tanto, también se utiliza como sinónimo de chip o microchip.

Componentes de un circuito integrado


El circuito integrado está elaborado con un material semiconductor, sobre el cual se
fabrican los circuitos electrónicos a través de la fotolitografía. Estos circuitos, que
ocupan unos pocos milímetros, se encuentran protegidos por un encapsulado con
conductores metálicos que permiten establecer la conexión entre dicha pastilla de
material semiconductor y el circuito impreso.

Figura N° 88: Circuito integrado

110
Electrónica Analógica Y Digital

Existen varios tipos de circuitos integrados. Entre los más avanzados y populares
pueden mencionarse los microprocesadores, que se utilizan para controlar desde
computadoras hasta teléfonos móviles y electrodomésticos.

Clasificación según el tipo


Los circuitos integrados pueden clasificarse de diversas formas. Es posible hablar de
los circuitos monolíticos (fabricados en un único monocristal, por lo general silicio), los
circuitos híbridos de capa fina (con componentes que exceden a la tecnología
monolítica) y los circuitos híbridos de capa gruesa (sin cápsulas, con resistencias
depositadas por serigrafía y cortes con láser).

Otra clasificación se realiza según el número de componentes y el nivel de integración.


Los circuitos integrados, en este caso, se conocen por su sigla en inglés: SSI (Small
Scale Integration), MSI (Medium Scale Integration), etc.

Historia del circuito integrado


Muchos los dispositivos microelectrónicos interactuados, especialmente transistores y
diodos, sin dejar de lado componentes pasivos tales como condensadores y
resistencias aprovechan la tecnología del circuito integrado, cuya historia se remonta a
finales de la década de 1950, cuando un ingeniero llamado Jack St. Clair Kilby desarrolló
el primer prototipo para la compañía Texas Instruments. Hasta ese entonces, los
equipos electrónicos solían consistir de tubos al vacío (también llamados válvulas
electrónicas o termoiónicas, entre otros de sus nombres), un componente usado para
conmutar, modificar o amplificar una señal eléctrica controlando el movimiento de los
electrones con ayuda de ciertos gases o en un espacio con una presión muy baja. Sin
embargo, gracias al trabajo de Kilby, los componentes activos y pasivos comenzaron a
ubicarse en una misma superficie de metal cuyas dimensiones eran decenas de veces
inferiores a las de un sólo tubo al vacío.

Su evolución
El primer circuito integrado desarrollado por Kilby se fabricó sobre una pastilla de
germanio cuadrada; cada lado medía 6 milímetros y lo componían un condensador, tres
resistencias y un transistor. El debut fue todo un éxito, lo cual permitió a este
revolucionario ingeniero continuar investigando y mejorando su invento. Cabe
mencionar que el nombre de «chip» deriva del término inglés homónimo utilizado para
referirse a las astillas, entre otras cosas.

Pero el paso de tubos al vacío a semiconductores no fue una casualidad, sino que se
apoyó en una serie de experimentos que demostraron la utilidad de estos últimos para
reemplazar a los primeros en cuanto a funcionalidad se refiere, ocupando una fracción
de su tamaño. Este gran avance, que hace parecer la realidad que lo precede propia de
un absurdo, cobró fuerza en poco tiempo, gracias a que los circuitos integrados
comenzaron a producirse en masa y el mundo pudo comprobar que además de su
evidente ventaja con respecto a las válvulas, eran fiables y fáciles de complejizar.

111
Electrónica Analógica Y Digital

Al día de hoy, encontramos esta tecnología en los microprocesadores de dispositivos


tan dispares como ordenadores y teléfonos móviles, y también en memorias digitales,
las cuales utilizan un chip en lugar de partes mecánicas.

Tipos de circuitos integrados


Clasificación según su función
Los circuitos integrados pueden clasificarse según su función en tres categorías
principales: analógicos, digitales y mixtos.

1. Circuitos integrados analógicos


Estos circuitos procesan señales analógicas, es decir, señales que varían
continuamente en el tiempo. Algunos ejemplos de circuitos integrados analógicos
incluyen:
• Amplificadores operacionales: Son dispositivos que amplifican la diferencia de
voltaje entre sus entradas, y se utilizan en una amplia variedad de aplicaciones,
desde filtros hasta comparadores y osciladores.
• Reguladores de voltaje: Mantienen un voltaje de salida constante
independientemente de las variaciones en la entrada o en la carga.
• Conversores de señal: Convierten una señal analógica en otra forma, por ejemplo,
de corriente a voltaje o viceversa.

2. Circuitos integrados digitales


Los circuitos digitales procesan señales que solo pueden tomar valores discretos, como
0 y 1. Algunos ejemplos de circuitos integrados digitales son:
• Microprocesadores: Son el "cerebro" de los ordenadores y otros dispositivos
electrónicos. Ejecutan instrucciones almacenadas en memoria para llevar a cabo
tareas específicas.
• Memorias: Almacenan información digital en forma de bits (0 o 1). Hay varios tipos
de memorias disponibles, como las RAM, ROM, EEPROM, entre otras.
• Contadores y temporizadores: Estos dispositivos realizan operaciones de conteo o
generación de señales temporizadas a partir de una entrada de reloj.

3. 3. Circuitos integrados mixtos


Los circuitos integrados mixtos combinan funciones analógicas y digitales en un mismo
chip. Algunos ejemplos incluyen:
• Conversores analógico-digitales (ADC): Convierten señales analógicas en digitales
para su procesamiento posterior en sistemas digitales.
• Conversores digital-analógicos (DAC): Realizan la función opuesta a los ADC,
convirtiendo señales digitales en analógicas.
• Controladores de motores: Estos dispositivos permiten controlar motores eléctricos
a través de señales digitales, ajustando parámetros como la velocidad y la dirección
de giro.

112
Electrónica Analógica Y Digital

Clasificación según su tecnología de fabricación


Los circuitos integrados también pueden clasificarse según la tecnología utilizada para
fabricarlos. Algunas de las tecnologías más comunes incluyen:

1. Tecnología bipolar
Estos circuitos integrados emplean transistores bipolares como elementos activos. Los
transistores bipolares son dispositivos semiconductores que controlan el flujo de
corriente a través de una unión PN. Algunas ventajas de la tecnología bipolar incluyen
una alta velocidad de operación y la capacidad de manejar corrientes mayores en
comparación con otras tecnologías.

2. Tecnología CMOS
La tecnología CMOS (Complementary Metal-Oxide-Semiconductor) utiliza transistores
de efecto de campo (FET) en lugar de transistores bipolares. Los circuitos CMOS tienen
un bajo consumo de energía, lo que los hace ideales para dispositivos portátiles y
aplicaciones de baja potencia.

3. Tecnología BiCMOS
La tecnología BiCMOS combina las ventajas de la tecnología bipolar y CMOS. Esta
tecnología permite la integración de circuitos analógicos y digitales de alto rendimiento
en un único chip.

Figura N° 89: Tecnología BiCMOS

Clasificación según su nivel de integración


Finalmente, los circuitos integrados se pueden clasificar según el número de
componentes electrónicos que contienen. Esta clasificación incluye:

113
Electrónica Analógica Y Digital

1. Circuitos integrados de pequeña escala (SSI)


Estos circuitos contienen hasta 100 componentes en un solo chip. Incluyen puertas
lógicas básicas, como AND, OR y NOT, así como flip-flops y multiplexores.

2. Circuitos integrados de media escala (MSI)


Los MSI contienen entre 100 y 1,000 componentes en un solo chip. Ejemplos de
dispositivos MSI incluyen sumadores, comparadores y decodificadores.

3. Circuitos integrados de gran escala (LSI)


Estos circuitos tienen entre 1,000 y 100,000 componentes en un solo chip. Los
microprocesadores y las memorias son ejemplos típicos de dispositivos LSI.

4. Circuitos integrados de muy gran escala (VLSI)


Los VLSI contienen más de 100,000 componentes en un solo chip. La mayoría de los
circuitos integrados modernos, como los procesadores gráficos y los chips de
comunicaciones, pertenecen a esta categoría.

Existen diversos tipos de circuitos integrados que varían según su función, tecnología
de fabricación y nivel de integración. Estos dispositivos son esenciales para el diseño y
funcionamiento de una amplia variedad de sistemas electrónicos, desde dispositivos
portátiles hasta sistemas industriales de control. Conocer las diferencias entre estos
tipos de circuitos integrados te permitirá tomar decisiones informadas al momento de
diseñar y construir tus propios proyectos electrónicos.

Preguntas Frecuentes
En esta sección, responderemos a algunas preguntas frecuentes relacionadas con los
tipos de circuitos integrados. ¡Sigue leyendo para obtener más información!

¿Cuáles son los tipos principales de circuitos integrados?


Los circuitos integrados (CI) pueden clasificarse en varios tipos, según su funcionalidad
y características:
• Análogos: Estos CI trabajan con señales continuas, como amplificadores
operacionales y convertidores analógico-digital (ADC).
• Digitales: Procesan señales discretas, como puertas lógicas y memorias.
• Mixtos: Combinan funciones análogas y digitales en un mismo CI, como los
microcontroladores.
• De aplicación específica (ASIC): Diseñados para realizar una función específica en
un sistema electrónico.

Cada tipo de CI tiene sus propias ventajas y desventajas, dependiendo de la aplicación


y las necesidades del diseño del sistema electrónico.

114
Electrónica Analógica Y Digital

¿Qué es un circuito integrado de aplicación específica (ASIC)?


Un circuito integrado de aplicación específica (ASIC) es un tipo de CI diseñado para
realizar una función particular en un sistema electrónico. A diferencia de los CI de
propósito general, que se pueden utilizar en una amplia variedad de aplicaciones, los
ASIC están optimizados para una tarea específica, lo que permite un rendimiento
mejorado y una mayor eficiencia energética.

Los ASIC se utilizan comúnmente en sistemas embebidos, dispositivos móviles y


equipos de minería de criptomonedas, entre otros. Sin embargo, el diseño y la
fabricación de ASIC pueden ser más costosos y requieren un mayor esfuerzo en
comparación con los CI de propósito general.

¿Cuál es la diferencia entre un microprocesador y un microcontrolador?


Tanto los microprocesadores como los microcontroladores son tipos de circuitos
integrados digitales que se utilizan para procesar y controlar datos. Sin embargo, hay
algunas diferencias clave entre ellos:
• Microprocesador: Es el núcleo de un sistema informático, responsable de ejecutar
instrucciones y realizar cálculos. Los microprocesadores no incluyen memoria ni
dispositivos de entrada/salida (E/S) en el mismo CI y, por lo tanto, requieren
componentes externos para funcionar.
• Microcontrolador: Combina un microprocesador, memoria y dispositivos de E/S en
un único CI, lo que lo hace más adecuado para aplicaciones embebidas y de control.
Los microcontroladores son más compactos y consumen menos energía que los
microprocesadores, pero pueden tener un rendimiento inferior en comparación con
los sistemas basados en microprocesadores.

La elección entre un microprocesador y un microcontrolador depende de las


necesidades específicas del proyecto y el alcance de la aplicación.

¿Qué es un FPGA y cómo se diferencia de un ASIC?


Un FPGA (Field-Programmable Gate Array) es un tipo de circuito integrado digital que
se puede programar en campo para implementar funciones específicas. A diferencia de
los ASIC, que son de naturaleza fija y no se pueden modificar una vez fabricados, los
FPGA se pueden reprogramar según sea necesario para adaptarse a diferentes
aplicaciones o cambios en el diseño.

Los FPGA ofrecen más flexibilidad que los ASIC y son ideales para prototipos y
aplicaciones que requieren actualizaciones frecuentes. Sin embargo, suelen ser menos
eficientes en términos de rendimiento y consumo de energía en comparación con los
ASIC diseñados específicamente para una tarea.

115
Electrónica Analógica Y Digital

Conclusión
Existen varios tipos de circuitos integrados, cada uno con sus propias características y
aplicaciones. La elección del CI adecuado depende de las necesidades específicas del
proyecto y del sistema electrónico en el que se implementará.

En El Planteamiento Del Problema

Se debe desarrollar el funcionamiento de los osciladores. Que uso tienes cuales son la
aplicaciones así como como : son la graficas en el osciloscopio equipo usado para esta
experiencia. Haciendo las mediciones con los instrumentos en cada uno de los puntos
de salida y entrada, o en las resistencias. Esencialmente, un oscilador convierte un
voltaje de entrada de cd a un voltaje a un voltaje de salida de ca. La forma de la onda
de salida puede ser una onda sinusoidal, una onda diente de sierra una onda cuadrada,
o cualquier otra forma de onda mientras se repita a intervalos periódicos.

Lo primero q se debe hacer es implementar el circuito del oscilador colpits: De la figura


siguiente:

Figura N° 90: Problema planteado

En la figura siguiente se muestra el grafico en el proteus. Los datos que se obtuvo en


clases con los bobinas que diseñamos se iba visualizar en el osciloscopio la oscilación
del circuito anterior pero no siempre sale como quisiéramos que saliera, de alguna
manera no funcionó correctamente ya sea porque diseñamos mal la bobina o por mal
uso de él pudieron ser muchos factores :

La construcción de la bobina de 120uH O mala distribución de ellos.

116
Electrónica Analógica Y Digital

Figura N° 91: Problema en proteus

Implementando el circuito puente wien:


Que se puede apreciar en la siguiente figura:
El circuito que se le muestra en la figura esta simulado en proteus ya que permite la
simulación no a la perfección pero si ayuda como se aprecia.

Figura N° 92: Puente wien

117
Electrónica Analógica Y Digital

Los datos obtenidos en la figura en el laboratorio son :

Frecuencia =19.95 hz
Vmin= 10.5 Vmax=11.2
Con una onda de salida que se aprecia en la fotografía

En la primera fotografía mostramos algunos fotos del grupo aunque básicamente lo


hicimos de la manera más representativa posible

Figura N° 93: Muestra en osciloscopio

En esta parte se puede apreciar de mejor manera en el osciloscopio la formade onda


que se visualiza en ele osciloscopio:

Figura N° 94: Ondas

118
Electrónica Analógica Y Digital

1. Plasmando y comparando los resultados obtenidos:


Teóricamente:
La frecuencia de oscilación puede ser calculado como:

Donde:

Reemplazando los datos : debería darnos lo que se obtuvo en el laboratorio o


aproximarse: a dicho resultado: reemplazando :

Donde:

cómo se puede apreciar no coinciden los datos obtenidos .

Que cambios existen al retirar los diodos.


Al retirar lo diodos lo que sucede es que el crossover que existe en el circuito no se
eliminan ya q existe un voltaje de Vbe= 0.7 y q no se equilibra. Al sacar los diodos.

El circuito que mejor performance ante la presencia de oscilaciones parasitas es el


oscilador puente de wein.

Conclusiones
Un circuito de puente RC, con la frecuencia de oscilación establecida por los
componentes R y C . la figura del laboratorio en este caso del informe muestra una
versión básica de un circuito oscilador de puente wein. Observe la conexión básica de
puente. Los resistores y los capacitores conforman los elementos de ajuste de
frecuencia , mientras que los resistores forman parte de la trayectoria de
retroalimentación .

119
Electrónica Analógica Y Digital

TAREA N°07

ELECTRÓNICA DIGITAL
Convierte números a diversos sistemas de numeración
Sistema de numeración
Introducción
Un sistema de numeración es un conjunto de símbolos y de normas a través del cual
pueden expresarse la cantidad de objetos en un conjunto, es decir, a través del cual
pueden representarse todos los números válidos. Esto quiere decir que todo sistema de
numeración contiene un conjunto determinado y finito de símbolos, además de un
conjunto determinado y finito de reglas mediante las cuales combinarlos.
Los sistemas de numeración fueron una de las principales invenciones humanas en la
antigüedad, y cada una de las civilizaciones de antaño tuvo su propio sistema,
relacionado con su modo de ver el mundo, o sea, con su cultura.
A grandes rasgos, los sistemas de numeración pueden clasificarse en tres tipos
distintos:
• Sistemas no posicionales. Son aquellos en los que a cada símbolo le corresponde
un valor fijo, sin importar la posición que ocupe dentro de la cifra (si aparece primero,
a un lado o después).
• Sistemas semi-posicionales. Son aquellos en los que el valor de un símbolo tiende
a ser fijo, pero se puede modificar en situaciones particulares de aparición (aunque
suelen constituir más bien excepciones). Se entiende como un sistema intermedio
entre el posicional y el no posicional.
• Sistemas posicionales o ponderados. Son aquellos en los que el valor de un
símbolo está determinado tanto por su propia expresión, como por el lugar que
ocupe dentro de la cifra, pudiendo valer más o menos o expresar distintos valores
dependiendo de dónde se encuentre.
También es posible clasificar los sistemas de numeración en base a la cifra que utilizan
de base para sus cálculos. Así, por ejemplo, el sistema occidental actual es decimal
(pues su base es 10), mientras que el sistema de numeración sumerio era sexagesimal
(su base era 60).

Sistema de Numeración Octal (Base 8)


El sistema decimal es un sistema de numeración posicional. Cuando nos enseñan los
números por primera vez, éste es el sistema que se emplea y sin duda es el que más
se usa en matemáticas. Sin embargo, hay otros sistemas de numeración que, debido a
sus aplicaciones prácticas, también son importantes. Tal es el caso del sistema octal,
que se utiliza a veces en informática.
El sistema octal es un sistema de numeración posicional de base 8.
Los símbolos que se usan en este sistema son:
0, 1, 2, 3, 4, 5, 6, 7

120
Electrónica Analógica Y Digital

Para indicar que un número está escrito en base 8, usamos el subíndice (8) y para
indicar que un número está escrito en base 10, usamos el subíndice (10).

Figura 95: ejemplo Octal

Figura 96: ejemplo Octal

Figura 97: ejemplo Octal

121
Electrónica Analógica Y Digital

Cambio de base decimal/octal


A continuación, explicamos cómo pasar un número del sistema decimal al sistema octal,
y viceversa.

Figura 98: Cambio de base 8 a base 10

Ejercicios Resueltos de Cambio de Base


A. Cambio de base 10 a base 8
Escribir en base 8 los siguientes números escritos en el sistema decimal (es decir, en
base 10):

Ejercicio 1: 49(10

Dividimos 49 entre 8. Como el cociente, 6, es menor que 8, hemos terminado. El número


en base octal es 61(8.

122
Electrónica Analógica Y Digital

Ejercicio 2: 9(10

Dividimos 9 entre 8. Como el cociente, 1, es menor que 8, hemos terminado. El número


en base octal es 11(8

Ejercicio 3: 161(10

Dividimos 161 entre 8. Como el cociente, 20, es mayor o igual que 8, volvemos a dividir,
obteniendo el cociente 2, que es menor que 8. Hemos terminado el proceso y el número
en base octal es 241(8

Sistema binario (Base 2)


El sistema binario es un sistema de numeración en el que los números se representan
utilizando las cifras 0 y 1, es decir solo 2 dígitos (bi = dos).
Esto en informática y en electrónica tiene mucha importancia ya que las computadoras
trabajan internamente con 2 niveles: hay o no hay de Tensión, hay o no hay corriente,
pulsado o sin pulsar, etc.
Esto provoca que su sistema de numeración natural sea el binario, por ejemplo 1 para
encendido y 0 para apagado.
También se utiliza en electrónica y en electricidad (encendido o apagado, activado o
desactivado, etc.).
El lenguaje binario es muy utilizado en el mundo de la tecnología.

Números Binarios
Como ya dijimos, el sistema binario se basa en la representación de cantidades
utilizando los números 1 y 0.

123
Electrónica Analógica Y Digital

Por tanto su base es 2 (número de dígitos del sistema).


Cada dígito o número en este sistema se denomina bit (contracción de binary digit).
Por ejemplo el número en binario 1001 es un número binario de 4 bits.
Recuerda "cualquier número binario solo puede tener ceros y unos".
Los Números Binarios empezarían por el 0 (número binario más pequeño) después el
1 y ahora tendríamos que pasar al siguiente número, que ya sería de dos cifras porque
no hay más números binarios de una sola cifra.
El siguiente número binario, por lo tanto, sería combinar el 1 con el 0, es decir el 10 (ya
que el 0 con el 1, sería el 01 y no valdría porque sería igual que el 1), el siguiente sería
el número el 11.
Ahora ya hemos hecho todas las combinaciones posibles de números binarios de 2
cifras, ya no hay más, entonces pasamos a construir los de 3 cifras.
El siguiente sería el 100, luego el 101, el 110 y el 111. Ahora de 4 cifras...
Según el orden ascendente de los números en decimal tendríamos los números binarios
equivalentes a sus números en decimal :
El 0 en decimal sería el 0 en binario
El 1 en decimal sería el 1 en binario
El 2 en decimal sería el 10 en binario (recuerda solo combinaciones de 1 y 0)
El 3 en decimal sería el 11 en binario
El 4 en decimal sería el 100 en binario... Mejor mira la siguiente tabla:

Figura 99: Decimal a binario

Y así sucesivamente obtendríamos todos los números en orden ascendente de su valor,


es decir obtendríamos el Sistema de Numeración Binario y su número equivalente en
decimal.

124
Electrónica Analógica Y Digital

Pero qué pasaría si quisiera saber el número equivalente en binario al 23.456 en


decimal.
Tranquilo, hay un método para convertir un número decimal en binario sin hacerlo uno
a uno.

Decimal a Binario
Para hacer la conversión de decimal a binario, hay que ir dividiendo el número decimal
entre dos y anotar en una columna a la derecha el resto (un 0 si el resultado de la
división es par y un 1 si es impar).
Para sacar la cifra en binario cogeremos el último cociente (siempre será 1) y todos los
restos de las divisiones de abajo arriba, orden ascendente.
Ejemplo queremos convertir el número 28 a binario:
28 dividimos entre 2 : Resto 0
14 dividimos entre 2 : Resto 0
7 dividimos entre 2 : Resto 1
3 dividimos entre 2 : Resto 1 y cociente final 1

Figura 100: Decimal a binario

Entonces el primer número del número equivalente en binario sería el cociente último
que es 1 y su resto que es también 1, la tercera cifra del equivalente sería el resto de la
división anterior que es 1, el de la anterior que es 0 y el último número que cogeríamos
sería el resto de la primera división que es 0.
Con todos estos número quedaría el número binario: 11100.
Conclusión el número 28 es equivalente en binario al 11.100.
Vemos como para sacar el equivalente se coge el último cociente de las operaciones y
los restos que han salido en orden ascendente (de abajo arriba) 11100.
El subíndice 2 que hemos puesto al final del número en binario, es para indicar que es
un número en base 2, pero no es necesario ponerlo.
Veamos otro ejemplo el número 65 pasarlo a binario.

125
Electrónica Analógica Y Digital

Figura 101: Decimal a binario

Pasar de Binario a Decimal


Pues ahora al revés.
¿Qué pasaría si quisiera saber cuál es el número equivalente en decimal del número
binario por ejemplo 1001?
Pues también hay método.

PASO 1 – Numeramos los bits de derecha a izquierda comenzando desde el 0 (muy


importante desde 0 no desde 1).

PASO 2 – Ese número asignado a cada bit o cifra binaria será el exponente que le
corresponde.

PASO 3 – Cada número se multiplica por 2 elevado al exponente que le corresponde


asignado anteriormente.

PASO 4 - Se suman todos los productos y el resultado será el número equivalente en


decimal
Vamos a verlo paso a paso con un ejemplo y gráficamente que será más sencillo de
entender.
Ejemplo el número 1001 queremos saber su equivalente en decimal. Primero
asignamos exponentes:

Figura 102: Asignación de exponente

126
Electrónica Analógica Y Digital

Empezamos por el primer producto, que será el del primer número binario por 2 elevado
a su exponente, es decir 1 x 23 .
OJO Recuerda que cualquier número elevado a cero es 1, por ejemplo 2 elevado
a 0 es = 1.

El segundo y el tercer productos serán 0 porque 0 x 2 2 y 0 x 21 su resultado es 0 y el


último producto será 1 x 20 que será 1, luego 1 x 20 es 1 (no confundir y poner 0).
Ya estamos en el último paso que es sumar el resultado de todos estos productos:

El equivalente en decimal del número binario 1001 es el 9.


Veamos otro ejemplo solo gráficamente para que lo entiendas definitivamente.
En este caso la asignación del exponente a cada número ya lo hacemos directamente
en los productos, que es como se suele hacer normalmente.

Figura 103: Binario a Decimal

Otro ejemplo con todos los datos:

Figura 104: Ejemplo

127
Electrónica Analógica Y Digital

Circuitos digitales y el Sistema binario

Figura 105: Circuito digital

En los circuitos digitales, los números que se procesan están expresados en binario,
tanto en la entrada como en la salida. Un dígito binario, que puede ser ’0’ ó ’1’, recibe
el nombre de BIT, del término ingles BInary digiT (dígito binario). Utilizaremos los bits
para indicar el tamaño de las entradas y salías de nuestros circuitos. Así por ejemplo
podemos tener un circuito digital con 3 bits de entrada y 4 de salida. Los circuitos
digitales sólo saben trabajar con números en binario, sin embargo a los humanos nos
es más cómodo trabajar en decimal. Trabajar con número binarios puede parecer “poco
intuitivo”. Vamos a ver cómo en determinadas ocasiones resulta muy intuitivo el trabajar
con números binarios.

Aritmética binaria
La suma de dígitos binarios (o bits, de binary digits) se puede definir por exhaución.

Para sumar números de más dígitos se utiliza el mismo procedimiento que nos es tan
común en nuestro sistema decimal, se suman los bits de las posiciones iguales de

128
Electrónica Analógica Y Digital

derecha a izquierda, y cada vez que alguna suma en alguna posición supera a 1 se
acarrea un uno (el acarreo, que puede ser 0 o 1 se llama comúnmente bit de carry).

Figura 106: Suma binaria

Para denotar que un número se encuentra en notación binaria se le suele anteponer el


prefijo 0b

Sistema hexagesimal
El sistema hexagesimal es un sistema posicional de base 16 donde los símbolos son
los siguientes:

Figura 107: Sistema Hexadecimal a decimal

Para denotar que un número se encuentra en notación hexagesimal se le suele


anteponer el prefijo 0x.

Cambio de base: en general


Básicamente hay dos formas de realizar el cambio de base una base B a otra base b :
utilizando aritmética de la base
B (muy útil cuando B es 10) y utilizando aritmética de la base b (muy útil cuando b es
10).
Comenzaremos por la segunda opción. El procedimiento consiste en expresar los
coeficientes Ai del polinomio característico y la base B mediante la base b y evaluar el
polinomio utilizando aritmética de la base b . Por ejemplo, si quisiéramos convertir el
número 0xCC07 al sistema decimal bastaría evaluar:

Como vemos es fácil utilizar este procedimiento para pasar un número a base 10, por
nuestra familiaridad con la aritmética de base 10. Si debiéramos pasar un número de
base 10 a otra base, deberíamos tener un procedimiento que nos permita aprovechar
esta misma familiaridad con la base 10, aunque ahora es la base de origen y no la de
destino.
Calcularemos los coeficientes del polinomio característico en la base de destino a partir
de los restos dividir el número entre la base. Por ejemplo, si quisiéramos convertir el
número 105 de notación decimal a notación binaria, haríamos lo siguiente:

129
Electrónica Analógica Y Digital

Figura 108: Resultado

Cambio de base entre sistema binario y hexagesimal

Figura 109: Cambio binario a hexadecimal

Para realizar un cambio de base entre binario y hexagesimal basta con cambiar las 4-
uplas binarias por su correspondiente símbolo hexagesimal.

Bit y electrónica
Bits y electrónica es un término que se refiere a la combinación de la tecnología de la
información y la electrónica. Se utiliza para describir la intersección de estos dos
campos y cómo pueden trabajar juntos para crear soluciones innovadoras.

130
Electrónica Analógica Y Digital

TAREA N°08

ELECTRÓNICA DIGITAL
Desarrolla tablas de verdad

Funciones lógicas
En la actualidad, el álgebra de Boole se aplica de forma generalizada en el ámbito del
diseño electrónico. Claude Shannon fue el primero en aplicarla en el diseño de circuitos
de conmutación eléctrica biestables, en 1948. Esta lógica se puede aplicar a dos
campos:
• Al análisis, porque es una forma concreta de describir cómo funcionan los circuitos.
• Al diseño, ya que teniendo una función lógica aplicamos dicho álgebra para poder
desarrollar una implementación de la función.

El uso del álgebra de Boole en la Automática se debe a que buena parte de los
automatismos responden a la lógica binaria. Las variables binarias de entrada son
leídas y producen variaciones en las señales binarias de salidas.
El álgebra de Boole está formada por un conjunto de variables
Booleanas, x∈{0,1}∈{0,1}. Es decir variables que sólo pueden tomar dos valores: 0 ó 1,
abierto o cerrado, encendido o apagado, etc.

Un literal l es una variable o su negada. Existen dos tipos: literales con signo positivo
cuando representan el valor ‘1’ de la variable (l=x=i), y con signo negativo cuando
representa el valor ‘0’ (l=¯¯¯x=¯).
Una cláusula (o término C) está formada por un conjunto de literales enlazados
mediante conectivas lógicas.

Una fórmula lógica ϕ está formada por conjuntos de cláusulas enlazadas mediante
conectivas lógicas. Matemáticamente, toda fórmula lógica ϕ de n variables puede verse
también como una función multivariable, esto es ϕ:{0,1}n→{0,1}:{0,1}→{0,1}. En este
texto emplearemos indistintamente los términos de función y fórmula.
Una interpretación de una fórmula lógica ϕ es el valor lógico de la fórmula cuando se le
asignan valores de verdad (TRUE / FALSE) a sus variables. En consecuencia, existirán
tantas interpretaciones como combinaciones de asignaciones posibles.

Se dice que una fórmula lógica es satisfacible cuando existe al menos una
interpretación que la hace verdadera.

Operaciones básicas
El álgebra de Boole está definida por 3 operaciones básicas: complemento, suma (OR)
y producto (AND).

131
Electrónica Analógica Y Digital

Figura 110: Tabla NOT

Figura 111: Operación OR

Propiedades
Axiomas básicos
1: La ley asociativa:

132
Electrónica Analógica Y Digital

Figura 112: Ley asociativa

2: Existencia del elemento neutro:

Figura 113: Elemento neutro

3: La ley conmutativa:

Figura 114: Ley conmutativa


4: Ley distributiva:

Figura 115: Ley Distributiva

5: Existencia del elemento complementario:

Figura 116: Elemento complementario

AND
Ley de idempotencia para el producto:

Figura 117: Ley de idempotencia

Ley de absorción para el producto:

Figura 118: Ley de absorción

133
Electrónica Analógica Y Digital

Ley de identidad para el producto:

Figura 119: Ley de identidad


OR
Ley de idempotencia para la suma:

Figura 120: OR Ley de idempotencia para la suma

Ley de absorción para la suma:

Figura 121: OR Ley de absorción

Ley de identidad para la suma:

Figura 122: OR Ley de identidad


NOT
Ley de involución:

Figura 123: Ley de involución

Leyes de De Morgan

Figura 124: Ley de Morgan

Conectivas derivadas
NOR
Es la negada de la función “OR”:

134
Electrónica Analógica Y Digital

Figura 125: Tabla NOR

NAND
Es la negada de la función “AND”:

Figura 126: Tabla NAND

XOR
Es la función OR excluyente: o uno u otro, pero no los dos. Se puede utilizar para
detectar señales que son distintas.

135
Electrónica Analógica Y Digital

Figura 127: Tabla XOR

XNOR
Es la negada de la función “XOR”. Se puede utilizar para detectar señales que son
iguales:

Figura 128: Tabla XNOR

Mapa de Karnaugh
Un mapa de Karnaugh23 (también conocido como tabla de Karnaugh o diagrama de
Veitch) es un diagrama utilizado para la simplificación de funciones algebraicas en forma
canónica. A partir de la tabla de Karnaugh se puede obtener una forma canónica mínima
(con el mínimo número de términos). En este texto emplearemos indistintamente los
términos “mapa” y “tabla” de Karnaugh.

Nota.- Observe que siempre existirán dos formas canónicas mínimas, una DNF y
otra CNF.

136
Electrónica Analógica Y Digital

La tabla de Karnaugh consiste en una representación bidimensional de la función que


se quiere simplificar. Si la función viene expresada como una tabla de verdad, entonces
la tabla de Karnaugh puede verse como una forma alternativa de representación 2D.
Puesto que la tabla de verdad de una función de n variables posee 2n filas, la tabla de
Karnaugh correspondiente debe poseer también 2n celdas. La construcción de la tabla
de Karnaugh pasa por codificar cada celda en código binario reflejado (o código Gray)
de manera que celdas adyacentes tengan un código que difiere en un solo dígito.

Figura N° 129 Problema planteado

En la Fig. 129 puede verse un ejemplo de codificación Gray para el caso de funciones
lógicas de 4 variables. Cada variable lógica (A, B, C, D en la figura) se corresponde con
un bit del código Gray.

En la práctica, no es necesario explicitar el código de cada celda; basta con expresar


las cabeceras de las filas y columnas en código Gray (el código de la celda se construye
combinando la fila y columna correspondiente), según se desprende de la figura.

Definida la codificación Gray para la tabla, las celdas se rellenan asignando el valor ‘1’
para el caso que exista el término canónico correspondiente en la función objeto de
análisis, y el valor ‘0’ en caso contrario. Si la función lógica viene expresada como tabla
de verdad, se puede elegir la forma canónica para expresar la función. El criterio más
lógico es elegir aquella forma que contenga inicialmente el menor número de términos.
Para ello basta con contar el número de interpretaciones que satisfacen la fórmula lógica
(filas de la tabla de verdad con resultado ‘1’). Cuando el número de interpretaciones que
satisfacen la fórmula lógica es menor que el número de interpretaciones que no la
satisfacen, se elige la forma canónica DNF. En caso contrario la CNF.

Nota.- Las tablas de Karnaugh se pueden realizar fácilmente a mano con funciones de
hasta 6 variables. Para funciones de mayor cantidad de variables es más eficiente el
uso de software especializado.

Una vez construida la tabla de Karnaugh se procede a la reducción del número de


términos (si es posible) mediante la agrupación de celdas adyacentes en la tabla con
valor ‘1’24.

137
Electrónica Analógica Y Digital

Las dos secciones siguientes describen el algoritmo de simplificación en detalle para


las formas canónicas DNF y CNF.

Simplificación de una función lógica expresada en DNF


Dada la tabla de Karnaugh correspondiente a una función lógica expresada en DNF, el
procedimiento para su simplificación se describe a continuación.

En primer lugar, se agrupan las celdas con valor ‘1’ de la tabla teniendo en cuenta las
siguientes reglas:
1. Un grupo está formado por un número variable de celdas con valor ‘1’.
2. El número de celdas con valor ‘1’ dentro de un grupo debe ser potencia de dos: 1,
2, 4, 8, 16.
3. A efectos de la formación de grupos se debe considerar la tabla como toroidal, pues
los extremos de la tabla son adyacentes: el extremo derecho es adyacente al
izquierdo, y el inferior al superior. Se puede apreciar mejor en la Fig. 3.225.
4. Todas las celdas con valor ‘1’ deben pertenecer al menos a un grupo.
5. Una celda con valor ‘1’ puede pertenecer a varios grupos distintos.
6. El número de grupos debe ser mínimo.
7. Cuanto mayor sea el tamaño del grupo, mayor será la simplificación, tanto en
número de términos como en número de literales por cada término.
8. No es necesario que todos los grupos tengan el mismo tamaño.
9. Por último, puede darse el caso de que la función contenga alguna interpretación ‘x’
que no sea posible (por ejemplo, por representar parte de un sistema físico con
combinaciones de entradas que son físicamente imposibles). A las celdas
correspondientes a esas interpretaciones se les asigna un valor ‘x’. Dichas celdas
no tienen por qué pertenecer a ningún grupo, pero pueden emplearse para agrandar
grupos ya existentes.

Figura N° 130: Función lógica

138
Electrónica Analógica Y Digital

En segundo lugar, cada grupo generará un minitérmino en la función mínima resultante.


Ese minterm estará formado por aquellos literales comunes dentro del grupo
correspondiente, con signo negativo o positivo si toman el valor ‘0’, o ‘1’ en la
codificación Gray. Los literales que aparecen con códigos distintos en el grupo se
eliminan.

La función resultante DNF, compuesta por la suma de los minitérminos


correspondientes de cada grupo, es mínima.

A modo de ejemplo, se considera la función lógica expresada en la tabla de verdad:


a b c y
0 0 0 0
0 0 1 1
0 1 0 1
0 1 1 1
1 0 0 0
1 0 1 1
1 1 0 0
1 1 1 1
Figura N° 131: Tabla de la verdad

Al tener 3 variables tendremos 23 = 8 celdas. Para construir la codificación Gray inicial,


las variables se pueden agrupar como se quieran. En este caso representaremos a y b
juntas (columnas) frente a c (filas). La tabla de Karnaugh, con dos formas de representar
las cabeceras de filas y columnas, aparece en la Fig. 132.

Figura N° 132: Codificación Gray

En la representación de la izquierda, las cabeceras de las filas y columnas representan


con una raya gris los literales positivos (variables con valor ‘1’). Consecuentemente, la

139
Electrónica Analógica Y Digital

ausencia de raya indica los literales negativos (variables con valor ‘0’). En la
representación de la derecha aparecen explícitamente los valores de las entradas. En
este libro usaremos la notación de la izquierda para las tablas de Karnaugh por su
sencillez.

Una vez tenemos la tabla de Karnaugh agrupamos los unos. Como hay 5 celdas con
valor ‘1’ no se puede agrupar a todos en un mismo grupo porque no es una potencia de
dos. Pero vemos que sí se puede hacer un grupo de 4 unos: el rojo. El ‘1’ que queda
suelto podría formar un grupo el sólo, pero si se junta con la celda de valor ‘1’ de la fila
inferior se obtiene un grupo más grande: el azul.

El término asociado al grupo rojo sería , ya que dicho literal es el único común a
todo el grupo (observe que a y b aparecen con distinto signo -toman valores tanto ‘1’
como ‘0’). El término asociado al grupo azul sería , porque en este grupo a
vale siempre ‘0’ y b siempre ‘1’ mientras que aparecen literales positivos y negativos de
la variable c. En consecuencia, la función simplificada sería:

Simplificación de una función lógica expresada en CNF


La simplificación de una función en forma canónica CNF es dual con respecto a la
metodología vista en la sección anterior para una forma canónica DNF.

Según lo expuesto, cada fila de ceros de la tabla de verdad produce un maxitérmino de


la forma CNF con los signos de los literales opuestos a los valores de las celdas de la
tabla. La tabla de Karnaugh, a partir de los maxitérminos, se obtiene de la misma forma
que para los minitérminos. La agrupación de las celdas de dicha tabla con valor ‘1’
conduce a los maxitérminos simplificados de la forma canónica CNF original. Se deja al
alumno que emplee esta metodología para obtener la simplificación del ejemplo anterior
(tabla de la Fig. 132).

Como metodología alternativa, y partiendo de la tabla de Karnaugh obtenida para los


minitérminos en la sección anterior (Fig. 132), se puede obtener la fórmula CNF mínima
agrupando las celdas con valor ‘0’ de dicha tabla de acuerdo con las reglas generales
ya expuestas (ver Fig. 133, agrupaciones violeta y verde). Si se razona de esta forma,
cada grupo de celdas con valor ‘0’ produce un maxitérmino siempre y cuando se
consideren sus literales con signo opuesto a los que les corresponden en la codificación
Gray de la tabla de Karnaugh original.

140
Electrónica Analógica Y Digital

Figura N° 133: Formula CNF

En el ejemplo, el término asociado al grupo violeta es , pues c siempre


aparece negado (y consideramos el literal con el signo cambiado), b también aparece
siempre negado (así que aparece con signo también positivo) y a cambia de signo (con
lo que se elimina). Razonando de la misma manera puede verse que el término
asociado al grupo verde es . La función mínima CNF es, por tanto:

Ejercicio.- Demuestre que las expresiones canónicas mínimas CNF y DNF obtenidas
corresponden a la misma función (tienen exactamente las mismas interpretaciones que
las satisfacen).
Simplifique la función ‘y’ dada por la siguiente tabla de verdad.
a b c d y
0 0 0 0 0
0 0 0 1 1
0 0 1 0 0
0 0 1 1 1
0 1 0 0 0
0 1 0 1 1
0 1 1 0 1
0 1 1 1 1
1 0 0 0 0
1 0 0 1 1
1 0 1 0 0

141
Electrónica Analógica Y Digital

1 0 1 1 1
1 1 0 0 0
1 1 0 1 1
1 1 1 0 0
1 1 1 1 1
Figura N° 134: Problema planteado

Solución:
La solución al ejercicio es:

Reducción de funciones lógicas empleando mapas de Karnaugh.


Pasos Para la Simplificación de la Ecuación o Función Lógica

1º) Dibujamos un tabla de Karnaugh con tantas casillas como 2 n , siendo "n" el número
de variables. Por ejemplo para 2 variables la tabla tendrá 4 casillas, para 3 variables
tendrá 8 casillas y para 4 variables tendrá 16 casillas.

No se recomienda este método para más de 4 variables.


A continuación puedes ver como quedarían las tablas en función del número de
variables de entrada.

Figura N° 135: Tablas para simplificar

142
Electrónica Analógica Y Digital

2º) Lo que pretendemos con esta tabla es tener una tabla con todos los estados de la
salida (0 o 1) para las diferentes combinaciones de las variables de entrada. El valor de
la salida de cada cuadrado lo sacaremos de la tabla de la verdad que ya deberemos
tener.

Imagina que una tabla de la verdad de 2 variables nos dice que para a = 0; b = 1 la
salida es 1, pues construiremos una tabla de 2 variables como la primera de arriba y en
el cuadro correspondiente a= 0 y b = 1 pondremos el valor de 1 (cuadro de la primera
fila el segundo de la derecha del todo)

Por ejemplo, en la tabla para 3 variables, ponemos las variables a y b encima de la línea
del vértice superior (columnas), y en las columnas de la tabla tenemos las posibles
combinaciones de esas 2 variables: 00, 01, 11 o 10.

En la parte de abajo (filas) ponemos la otra variable que nos queda, la variable c, y sus
posibles estados en cada fila que pueden ser 0 o 1.

¡¡¡OJO!!! es Muy Importante que los ceros y unos de cada variable estén en el orden
que aparecen en las tablas de arriba.

Por ejemplo, en la segunda tabla, para 3 variables, los valores de las variables "ab" NO
se deben poner en este orden 00, 01, 10 y 11 aunque parezca el más lógico.

OJO Esto es un error muy frecuente entre los alumnos.

El orden correcto es 00, 01, 11 y 10. Primero 11 y luego 10.

¿Por qué este orden? Porque es obligatorio que al pasar de una combinación a otra en
la tabla solo cambie el valor de una de las variables.

Por ejemplo, si pasáramos de la segunda columna de 01 a la tercera con valores 10,


cambiaría el estado de las dos variables.

La variable a sería en la primera combinación 0 (01) y en la segunda sería 1 (10), luego


"a" cambiaría, pero b también cambiaría ya que sería en la primera 1 (01) y en la
segunda 0 (10).

Sin embargo, si ponemos 01 y después 11 solo cambiaría en el paso de un estado a


otro la variable "a", teniendo b el mismo estado 1 en los dos casos.

Recuerda esta norma siempre al construir la tabla de simplificación por Karnaugh.


¿Qué representa cada cuadrado de la tabla o mapa de Karnaugh?
Una variable lógica o digital puede tener un valor de 0 o de 1.

143
Electrónica Analógica Y Digital

Dos variables pueden tener los siguientes valores: 00, 01, 11 o 10. En la tabla de
Karnaugh, las variables invertidas toman el valor 0 y las no invertidas el valor 1.

Seguimos con el ejemplo de la tabla para 3 variables: si tenemos la variable ab y el


primer cuadrado de la primera columna con valores 00 para a y b (ver imagen de arriba).

Esto significa que en ese cuadrado, el valor que se ponga dentro de el, representará el
valor de la combinación de ab = 00, es decir "a" invertida por "b" invertida (a´x b´).

La tercera columna 11 representará los valores de a x b (sin invertir ninguna de las dos.
Lógicamente el valor dentro del cuadrado a su vez representará un valor c de 0 o de 1.

Por ejemplo el primer cuadro de la primera columna 00 - primera fila 0; representará el


valor de la salida para el producto de a´x b´ x c´

Y el cuadro de abajo donde c es 1 representará el valor de salida para la combinación


del producto: a´x b´ x c ; donde la c está sin invertir.

Figura N° 136: Valor de axbxc

Ya tenemos nuestra tabla con los posibles valores de a, b y c. Si te fijas, tenemos que
cada cuadrado de la tabla de Karnaugh representará una sola y única combinación de
las posibles combinaciones que pueden tener las variables a, b y c.

Lo mismo pasa para 2 variables, 4 variables y 5 variables.


Te dejamos un video donde se explica cómo se rellena la tabla de Karnaugh:

3º) Ahora que ya tenemos nuestra tabla o mapa de Karnaugh creada, el siguiente paso
es rellenarla con los posibles valores de salida para cada producto de los valores de
entrada.

144
Electrónica Analógica Y Digital

Tenemos 2 posibilidades, que tengamos la tabla de la verdad o que tengamos la función


lógica del circuito.

Lo normal es que nosotros hagamos la tabla de la verdad y después obtener la función


lógica con la tabla de la verdad obtenida.

Si este es el caso, lo mejor es utilizar siempre la tabla de la verdad, pero puede darse
el caso que tengamos una función lógica de un circuito sin nada más.

- Si tenemos la tabla de la verdad tenemos que poner un 0 en el cuadro donde la


combinación de las variables de como salida el valor 0 en la tabla de la verdad y un 1
en el cuadrado cuya combinación de variables de la salida 1 en la tabla de la verdad.

Recuerda que los productos de una función lógica son las combinaciones de las
variables que dan como salida 1. Fíjate en la siguiente imagen (solo en el paso de tabla
de la verdad a tabla de Karnaugh).

Las combinaciones que dan como salida 1 de las variables de entrada en la tabla de la
verdad, son las que en su cuadro, dentro de la tabla de Karnaugh, debemos de poner
un 1.

Figura N° 137: Tablas para simplificar

- Si tenemos la función lógica, recordar que las combinaciones de las variables de los
productos de la función son las combinaciones que dan como salida un valor de 1.

145
Electrónica Analógica Y Digital

Ejemplo: si tenemos esta función S = (a x b) + (a´x b)


En esta función cuando tengamos:
(a x b) o (a´ x b) la salida tendrá como resultado 1.

Recuerda el valor de la variable sin invertir (a) se supone 1 e invertida (a´) 0

Buscaremos en la tabla de Karnaugh el cuadro que representa cada uno de esas


multiplicaciones de variables y en esos 2 cuadros pondremos el valor de 1, en el resto
el valor de 0.

Buscamos el cuadrado de a x b en la tabla de Karnaugh y ponemos 1; el de a´x b y en


su cuadrado también ponemos 1. Para el resto de cuadros ponemos 0.

Figura N° 138: Tablas axb +axb

Es decir, las combinaciones de variables de los productos en la función son las


combinaciones en la tabla de Karnaugh donde debemos de poner un 1 en ese cuadro.

En el ejemplo de arriba para 3 variables (ahora fíjate solo en el paso de la función lógica
a la tabla de Karnaugh).

El primer producto de la función lógica es a invertida, por b invertida por c sin invertir.
En el cuadrado de esa combinación de la tabla ponemos un 1 y así para todos los
productos de la función lógica, que serán las combinaciones que dan un valor de salida
1.

En el resto de cuadros ponemos un 0.


Pero...¿Qué pasa si algún producto de la suma de productos de la función le falta alguna
variable de entrada?.
Por ejemplo imagina que tenemos S = (a x b) + (a´x b x c).
En el primer producto no tenemos la variable c.
En estos casos es un poco más compleja la solución.

Recuerda: con la tabla de la verdad esto no pasa nunca, por eso ya te dijimos, que si
se puede, es mejor utilizar la tabla de la verdad.

146
Electrónica Analógica Y Digital

¿Qué haríamos en estos casos? Debemos obtener lo que se llama la "expresión


canónica" de la función, que es una expresión con una suma de productos en la que
todos los productos tengan las 3 variables (o las 2, las 4, las 5... depende las variables
que tenga la función.).

¡¡¡OJO!!! la expresión canónica debe ser una función equivalente, es decir que no
cambie con respecto a la función inicial.

Por el algebra de Boole sabemos que una variable sin invertir mas la misma variable
invertida el resultado es 1 (a + a´ = 1), y además, un producto si le multiplicamos por 1
sigue siendo el mismo producto (a x b x 1 = a x b).

Sabiendo esto, cogemos el producto de la función al que le falta una variable y lo


multiplicamos por la suma de la variable que le falta sin invertir e invertida (c + c´), que
ya sabemos que esta suma da un valor de 1 y no cambia el valor de la multiplicación
inicial.

Veamos como quedaría en el ejemplo anterior.

Al producto que le faltaba una variable era (a x b) y le faltaba la variable c:


a x b x (c + c´) = a x b x c + a x b x c´

Esto hace que no cambie nada, la única diferencia es que ahora tenemos en lugar de
un producto al que le falta una variable (a x b), dos productos con todas las variables
cada uno (a x b x c + a x b x c´ ), pero que dan el mismo resultado que el producto de a
x b.

El segundo término de la función inicial como no le faltaba ninguna variable queda como
está. La expresión canónica de la función sería:
S = a x b x c + a x b x c´ + + a´x b x c

OJO si tenemos varias sumas hay que comprobar si se repite alguna (si hay más de un
producto igual), si pasa esto, se anularían todas las expresiones iguales y solo
dejaríamos una en la suma de productos. Veamos un ejemplo:

147
Electrónica Analógica Y Digital

Ahora ya tenemos nuestra función preparada para continuar con su simplificación por
el diagrama de Karnaugh.

4º) Ahora llega el momento la agrupación de UNOS.


Tenemos que agrupar grupos de 1 de dos en dos, de cuatro en cuatro, de ocho en ocho
etc. hasta que queden agrupados todos los UNOS de la tabla.

Tenemos que hacer grupos de 1 de 2n pudiendo ser n los valores 0, 1, 2, 3.... que dan
como resultado los valores anteriores (1, 2, 4, 8, 16, ....)

Conclusión debemos agrupar UNOS en grupos de 1, 2, 4, 8, 16....UNOS. y además, la


agrupación debe ser la mayor posible de unos, y no importa que un uno o varios unos
pertenezcan a varias agrupaciones siempre y cuando las agrupaciones se realicen en
vertical o en horizontal (cuadrados o rectángulos) nunca en diagonal.

OJO nunca agrupar grupos de 3 o 5 unos.

Figura N° 139: Agrupación

148
Electrónica Analógica Y Digital

Además los grupos de los cuadros de la columna del extremo derecho de la tabla y del
extremo izquierdo se pueden agrupar.

Igualmente se pueden agrupar los grupos de unos de la fila de arriba y de abajo.

Figura N° 140: Tablas verticales

149
Electrónica Analógica Y Digital

5º) Con los Grupos Obtenemos la nueva función simplificada.

Cada grupo de unos nos dará un término (un producto de la suma de productos) de la
nueva función simplificada. Estos productos se suman y obtenemos la nueva función
simplificada.

Para obtener el término de la función:


Elegimos un grupo de unos y comprobamos si dentro de ese grupo, alguna variable
cambia de valor, pasa de 0 a 1 (o de invertida a sin invertir).
En ese caso, esa variable (o variables) se eliminan del producto que nos daría ese grupo
de unos.
Las otras que no cambian de valor multiplicadas, serán uno de los producto de la suma
final de productos de la función simplificada.

OJO multiplicadas con o sin invertir, según como estén en el grupo.

Eso mismo lo hacemos en cada grupo de unos que tengamos y obtendremos tantos
productos como agrupaciones de unos hayamos hecho en la tabla.

Sumamos todos los productos obtenidos y ya tenemos nuestra función simplificada.

Lo mejor es verlo sobre un ejemplo. En el ejemplo anterior:

Figura N° 141: Ecuación sin simplificar

150
Electrónica Analógica Y Digital

¿Por qué eliminamos las variables que cambian?


Una variable cuando se multiplica por ella y por su invertida es como si no hubiera
variable, ya que el resultado sería 1.

Por este motivo es por lo que cuando tenemos una variable que cambia de valor dentro
de un grupo de 1, a la hora de obtener el producto de ese grupo, simplemente la
eliminamos.

Si no la elimináramos, nos quedaría esa variable en la multiplicación dos veces, una


invertida y otra no invertida, algo que no debe ocurrir porque es lo mismo que 1 y lo que
queremos es simplificar la función. Mira este otro ejemplo y la gran simplificación que
hacemos:

Figura N° 142: Tablas sumatorias

Y aquí tienes otros ejemplos. En este caso se pone un & para expresar la multiplicación
y el símbolo | para la suma en la función.

Figura N° 143: Tablas en función

151
Electrónica Analógica Y Digital

TAREA N°09

ELECTRÓNICA DIGITAL
Implementar circuitos usando compuertas lógicas

Compuertas Lógicas
Para el diseño y construcción de los diferentes dispositivos digitales existentes en el
mercado y básicamente en cualquier ámbito de nuestra vida diaria, se necesita el uso
de las compuertas lógicas. Las compuertas lógicas son configuraciones electrónicas,
básicamente construidas por medio de transistores, pero que tienen como principal
característica que se genera un valor de salida en respuesta a una operación booleana
que se realiza con las entradas de la compuerta. Tanto las entradas como la salida
están definidas solamente por dos valores 0 y 1, donde de forma estándar el 0 lógico le
corresponde a 0 volts y 1 lógico le corresponde a 5 volts (principalmente para la familia
TTL). Al uso de estos dos valores se le conoce como lógica binaria, que es la base para
todo sistema digital en la actualidad.

Las compuertas lógicas básicas son tres y son mostradas a continuación, en cada una
de las presentaciones se muestra su representación simbólica, su función matemática,
así como su comportamiento mediante una tabla de verdad. La tabla de verdad se
caracteriza por mostrar la relación que existen entre los valores de entrada de la
compuerta con respecto al valor de salida.

Compuerta lógica AND


También puede definirse como una multiplicación Booleana: Si el valor de todas las
variables de entrada es 1, entonces el resultado en la salida será 1 lógico, si por el
contrario alguna de las variables de entrada es igual a 0, la salida valdrá 0 lógico.

Figura N° 144: Lógica AND

152
Electrónica Analógica Y Digital

Compuerta lógica OR
También definida como una suma Booleana: Siempre que, al menos una de las
entradas tenga un valor igual a 1, la compuerta OR dará como resultado un 1 lógico,
pero si todas las variables de entrada tienen el valor 0, la salida será un 0 lógico.

Figura N° 145: Lógica OR

Compuerta lógica NOT


También definida como negación Booleana: Cualquiera que sea el valor en la entrada
de la compuerta, 1 o 0, la salida será lo contrario a esta. Cabe mencionar que solo es
posible tener una entrada.

Figura N° 146: Lógica NOT

La primera modificación que podemos hacer a las compuertas lógicas base, es la


negación de las mismas, simplemente colocando una negación después de la salida de
la compuerta, dando como resultado las compuertas siguientes:

Compuerta lógica NAND


Esta compuerta es la negación de la compuerta AND, y para que el resultado sea 1, al
menos una de las entradas debe de ser 0, y está representada por:

153
Electrónica Analógica Y Digital

Figura N° 147: Lógica NAND

Compuerta lógica NOR


Esta es la negación de la compuerta OR, para que la salida sea igual a 1, todas sus
entradas deben de ser cero, de otra forma, la salida será igual a 0.

Figura N° 148: Lógica NOR

En este punto hay que aclarar que si colocáramos dos compuertas NOT seguidas, la
salida sería igual que la entrada, ya que una función doblemente negada es igual a la
misma función, como se puede ver a continuación:

Figura N° 149: Lógica NOR simplificada

Por medio de la combinación de estas operaciones, es posible realizar comportamientos


lógicos más complejos, generando funciones Booleanas, estas funciones pueden ser
construcciones de compuertas tan grandes como un sistema binario lo requiera, sin
embargo, existen dos combinaciones de compuertas que se repiten en muchos diseños
digitales, por lo que se le asignó una forma de compuerta particular, estas compuertas
no son operaciones puras, son operaciones compuestas, pero en la práctica, simplifican

154
Electrónica Analógica Y Digital

el diseño y armado de nuestra circuitería. Estas compuertas son la OR Exclusiva y su


negación, NOR exclusiva.

OR exclusiva (XOR)
Compuerta cuya operación se representa por el símbolo , formada por la siguiente
función booleana:

Figura N° 150: Lógica XOR

El cual es simplificado y representado por la compuerta:

Figura N° 151: Lógica XOR simplificada

NOR exclusiva (XNOR)


Compuerta cuya operación se representa por el símbolo , formada por medio de la
siguiente función:

Que da como resultado el siguiente circuito booleano:

Figura N° 152: Lógica XNOR

155
Electrónica Analógica Y Digital

Que es simplificado por medio de la siguiente compuerta:

Figura N° 153: Lógica XNOR simplificada

Con las compuertas descritas se pueden armar una infinidad de circuitos lógicos,
obviamente, que respondan a una necesidad, ya sea, industrial o académica. En otras
páginas de esta web se explicará la forma en la cual podemos convertir un problema
real a un circuito lógico y como armar un circuito a partir de una función, por el momento,
se puede ver la siguiente figura a manera de ejemplo de lo que un circuito lógico
compuesto puede ser.

Figura N° 154: Lógica XNOR simplificada 2

Algo que es importante mencionar, aunque por estándar se consideran compuertas


lógicas de dos entradas, estas pueden tener una cantidad n de entradas sin alterar su
funcionamiento.

156
Electrónica Analógica Y Digital

TAREA N°10

ELECTRÓNICA DIGITAL
Implementar circuitos usando Flip Flops

Flip Flops.
El flip flop es el nombre común que se le da a los dispositivos de dos estados
(biestables), que sirven como memoria básica para las operaciones de lógica
secuencial. Los Flip-flops son ampliamente usados para el almacenamiento y
transferencia de datos digitales y se usan normalmente en unidades llamadas
«registros», para el almacenamiento de datos numéricos binarios.

Figura N° 155: Flip Flops

Son dispositivos con memoria más comúnmente utilizados. Sus características


principales son:

Asumen solamente uno de dos posibles estados de salida.


Tienen un par de salidas que son complemento una de la otra.
Tienen una o mas entradas que pueden causar que el estado del componente cambie.
Los flip flops se pueden clasificar en dos:
Asíncronos: Sólo tienen entradas de control. El mas empleado es el RS.
Síncronos: Ademas de las entradas de control necesita un entrada sincronismo o de
reloj.

Una vez teniendo una idea de lo que es un flip flop vamos a describir los mas utilizados.

157
Electrónica Analógica Y Digital

Flip-Flop R-S (Set-Reset)


Utiliza dos compuertas NOR. S y R son las entradas, mientras que Q y Q’ son las salidas
(Q es generalmente la salida que se busca manipular.)
La conexión cruzada de la salida de cada compuerta a la entrada de la otra construye
el lazo de reglamentación imprescindible en todo dispositivo de memoria.

Para saber el funcionamiento de estos componentes se utilizan las Tablas de verdad.


Si no se activa ninguna de las entradas, permanece en el ultimo estado en el cual se
encontraba.

Figura N° 156: Flip Flops RS

Flip-Flop T
Este cambia de estado en cada pulso de T. El pulso es un ciclo completo de cero a 1.
Con el flip flop T podemos complementar una entrada de reloj al flip flop rs.

Figura N° 157: Flip Flops T

La siguiente tabla muestra el comportamiento del FF T y del FF S-R en cada pulso de


t.

158
Electrónica Analógica Y Digital

Figura N° 158: Comportamiento FF FF RS

Flip-Flop J-K (Jump-Keep)


Este una mezcla entre el S-R y el tipo T. A diferencia del RS, en el caso de activarse
ambas entradas a la vez, la salida adquiere el estado contrario al que tenía.

Figura N° 159: Jump Keep

La siguiente tabla muestra el comportamiento del flip flop JK.

Figura N° 160: Comportamiento FF JK

159
Electrónica Analógica Y Digital

ZFlip-Flop D (Delay)
Es uno de los más sencillos. Su función es dejar pasar lo que entra por D, a la salida Q,
después de un pulso del reloj.

Figura N° 161: Flip Flops D

La siguiente tabla muestra el comportamiento del flip flop D.

Figura N° 162: Comportamiento FF D

Para que sirven las entradas Clear y Preset?


Cuando se están utilizando flip-flops en la construcción de circuitos, es necesario poder
controlar el momento en el que un FF empieza a funcionar y el valor con el que inicia
su secuencia. Para esto, los flip-flops cuentan con dos entradas que le permiten al
diseñador seleccionar los valores iniciales del FF y el momento en el que empieza a
funcionar.

Estas entradas son llamadas en Inglés: Clear y Preset.


• Clear – inicializa Q en 0 sin importar entradas o reloj
• Preset – inicializa Q en 1 sin importar entradas o reloj

Para ambas entradas, si reciben el valor de:


0 : inicializan en el valor correspondiente.
1: Opera normalmente

160
Electrónica Analógica Y Digital

La siguiente figura muestra un FF J-K con entradas de inicialización. Note que tanto la
entrada Clear, como la entrada Preset, tienen un círculo. Esto significa que la entrada
funciona con un 0.

Figura N° 163: Entrada de inicialización

161
Electrónica Analógica Y Digital

TAREA N°11

ELECTRÓNICA DIGITAL
Implementar circuitos usando registros y contadores

Registros y contadores.
Conjuntos de biestables que funcionan al unísono compartiendo sus señales de control.
Normalmente se utilizan registros formados por biestables de tipo D, o bien con
biestables S-R o J-K funcionando como biestables D.
Los registros necesitan una señal de sincronismo por nivel (latch) o por flanco.
A diferencia de los contadores no tiene una secuencia especifica de estados.
Aplicaciones: almacenamiento y desplazamiento de datos

Tipos de registros

Figura N° 164: Tipos de registros

Líneas más comunes en los registros:


• Entrada paralelo: cada biestable tiene su propio bit de entrada.
• Salida paralelo: cada biestable ofrece su propio bit de salida.
• Entrada serie: la entrada se produce bit a bit, siempre por el mismo biestable.
• Salida serie: la salida se produce bit a bit, siempre por el mismo biestable.
• Reloj: puede ser activo por flanco o por nivel.
• Inhibición/habilitación de reloj: bloquea/habilita las entradas del registro. (CE)
• Clear (puesta a 0): entrada asíncrona (habitualmente) común a todos los biestables.
• Preset (puesta a 1): entrada asíncrona (habitualmente) común a todos los biestables.
• Inhibición/habilitación de la salida: desconecta/habilita la salida del registro (OE)

Registros de almacenamiento en paralelo


Son los más frecuentes, y se emplean para almacenar datos. Los bits de datos de
entrada se almacenan todos a la vez, las entradas de datos de los biestables son
accesibles desde el exterior.

162
Electrónica Analógica Y Digital

Ejemplo con biestables D síncronos por flanco de subida.

Figura N° 165: Registro de almacenamiento paralelo

Registros de almacenamiento en paralelo


También pueden utilizarse registros síncronos por nivel, en cuyo caso tendremos un
registro de tipo latch.
Ejemplo: registro latch D síncrono por nivel alto.

Figura N° 166: Registro latch D

163
Electrónica Analógica Y Digital

Figura N° 167: Circuito registro latch D

Registros de almacenamiento en paralelo


Cronograma del registro síncrono por flanco de subida.

Figura N° 168: Cronograma de registro síncrono

Cronograma del registro latch síncrono por nivel alto.

Figura N° 169: Registro nivel alto

Registros de desplazamiento serie/serie


La entrada es bit a bit por un extremo (línea ES izquierda o derecha) y la salida es bit a
bit por el otro (SS izquierda o derecha).

164
Electrónica Analógica Y Digital

• Registro de desplazamiento hacia la izquierda: ESI y SSI.


• Registro de desplazamiento hacia la derecha: ESD y SSD.
Aplicación: línea de retardo y es función del número de etapas del registro y la
frecuencia del reloj
Ejemplo: registro de desplazamiento hacia la derecha con biestables SR.

Figura N° 170: Desplazamiento a la derecha

Registros de desplazamiento serie/serie


Ejemplo: registro de desplazamiento serie hacia la derecha con biestables D

Figura N° 171: Desplazamiento con biestables D

Registros de desplazamiento izquierda/derecha


Una señal de control (MODO) permite seleccionar el sentido del desplazamiento,
además de dos bits para entradas serie y otros dos para salida serie.

165
Electrónica Analógica Y Digital

Figura N° 172: Desplazamiento izquierda-derecha

Modo = L ⇒ desplazamiento hacia la izquierda (entrada ESI y salida SSI)


Modo = H ⇒ desplazamiento hacia la derecha (entrada ESD y salida SSD)

Concepto de contador
Un contador es un circuito secuencial que genera una secuencia ordenada de salidas
que se repite en el tiempo. La salida coincide con el estado de sus biestables. Los
contadores son circuitos secuenciales que cuentan flancos de reloj.

Tipos de contadores
• Síncronos: todos los biestables comparten la misma señal de reloj
• Asíncronos: no todos los biestables comparten la misma señal de reloj.
• Ascendente: la cuenta es creciente.
• Descendente: la cuenta es decreciente
• Reversible: la cuenta puede ser ascendente o descendente en función de una
entrada de control.
• Contador módulo 2n: tiene n biestables y cuenta desde 0 hasta 2n-1.
• Contador A-B: cuenta desde A hasta B, donde A puede ser distinto de 0 y B puede
ser distinto de 2n-1.
• Divisor de frecuencia: a partir de un reloj con una frecuencia dada, devuelve un bit
que vale 1 en uno de cada N ciclos y 0 en los restantes.

Contadores asíncronos
Se les llama también contadores por propagación o ripple counters. Se aplica una señal
externa a la entrada de reloj del primer biestable y a los siguientes se les aplica como
señal de reloj la salida del biestable anterior.
Los biestables no se disparan al mismo tiempo debido al retardo de las puertas, se
pueden producir estados espurios con valores incorrectos, y la frecuencia de reloj del
contador está limitada.

166
Electrónica Analógica Y Digital

Figura N° 173: Contador asincrónico

Los biestables no se disparan al mismo tiempo debido al retardo de las puertas, debido
a ello también se les conoce con el nombre de contadores con propagación.
El retardo de propagación limita la frecuencia de funcionamiento y además puede
originar estados transitorios en los que las salidas de los contadores van variando en
instantes de tiempo ligeramente distintos. Estos estados transitorios producen picos de
tensión de corta duración (GLITCHES) no deseado.
Módulo de un contador: nº de estados distintos por los que el contador puede pasar de
forma secuencial. Nº máximo de posibles estados.

Contadores síncronos
En ellos todos los biestables comparten el mismo reloj y basculan a la vez.
Entradas típicas en un contador síncrono estándar:
Ü Señal de reloj (C)
Ü Habilitación de cuenta (CE)
Ü Final de cuenta (TC, RCO o CEO): se pone a 1
cuando el contador llega al final de la cuenta.
• Si la cuenta es ascendente, el final es 2n-1.
• Si la cuenta es descendente, el final es 0.
• CEO (RCO) se activa sólo si CE está activo.
Ü Puesta a 0 (CLEAR): síncrona o asíncrona.
Ü Habilitación de carga en paralelo (L, LOAD):
habilita la carga de un dato de n bits en el contador
para contar a partir de él (suele ser carga síncrona).
Ü Entradas de carga en paralelo (Di): sirven para
introducir un valor de n bits si LOAD está activada.
Ü Cuenta ascendente/descendente (UP/DOWN): en
contadores reversibles.

Figura N° 174: Contador sincrónico

167
Electrónica Analógica Y Digital

Contadores síncrono ascendente módulo 2n-1


Ejemplo: contador ascendente módulo 16 (de 4 bits).

Figura N° 175: Sincrónico ascendente

Contadores síncrono descendente módulo 2n-1


Ejemplo: contador descendente módulo 16 (de 4 bits).

Figura N° 176: Sincrónico descendente

Contadores síncrono reversible módulo 2n-1


Ejemplo: contador reversible módulo 16 (de 4 bits).

168
Electrónica Analógica Y Digital

Figura N° 177: Sincrónico reversible

Contadores síncrono A-B


Son contadores que no realizan la cuenta completa, porque se actúa sobre ellos
utilizando las posibilidades de la carga en paralelo.
Ejemplo: contador 0-9. Contador de décadas. (Aplicación de displays)

Figura N° 178: Sincrónico A-B

Contadores síncrono A-B


Ejemplo: circuito del contador 0-9

169
Electrónica Analógica Y Digital

Figura N° 179: Circuito del contador 0-9

Divisor de frecuencia
Es un circuito basado en un contador módulo A-2n-1, pero no presenta todas sus salidas,
sino un solo bit que suele ser la señal de RCO. El divisor de frecuencia genera un reloj
con una frecuencia 1/N veces menor.
Ejemplo: divisor de frecuencia de 1/6 a partir de un contador de 4 bits (es un contador
10-15 usando como salida sólo TC).

Figura N° 180: Divisor de frecuencia

Divisor de frecuencia
Ejemplo: circuito del divisor de frecuencia de 1/6.

170
Electrónica Analógica Y Digital

Figura N° 181: Divisor De Frecuencia De 1/6

Asociación de contadores síncronos


Ejemplo: contador módulo 256 a partir de dos contadores módulo 16.

Figura N° 182: Asociación de contadores sincrónicos

171
Electrónica Analógica Y Digital

TAREA N°12

ELECTRÓNICA DIGITAL
Implementar circuitos usando multiplexores / demultiplexores

Multiplexores, Demultiplexores.
Multiplexores
Un multiplexor o también conocido como MUX o MPX es un dispositivo electrónico que
sirve para convertir datos de diferentes señales una sola salida. Es decir que, pueden
entrar diferentes tipos de datos a través de sus entradas, pero como solo tiene una
salida, se combinan todas las señales. Dependiendo del tipo que se utilice, puede
manejar diferentes señales ya sea digitales o analógicas, pero nunca las dos al mismo
tiempo.

Figura N° 183: Multiplexor

¿Cómo funciona un multiplexor?


A grandes rasgos el funcionamiento de un multiplexor, es el de seleccionar una entrada
y compartirla hacia la salida. Es importante mencionar que este dispositivo no puede
leer diferentes entradas al mismo tiempo, si no solo la que esta seleccionada. Para
lograr dicho funcionamiento se utilizan circuitos de compuertas lógicas, en donde se
aplican compuertas AND para las entradas y una compuerta OR para mezclar todas las
entradas.

Dentro del circuito como tal se utilizan compuertas AND de 3 terminales, en donde una
es para la señal y las otras dos para seleccionar la señal que queremos activar. Para
mezclar cada una de las posibles señales, las salida de cada AND se conecta a una
compuerta OR que sirve para reducirlas y mandar las señales dentro de una sola salida.
En palabras sencillas, este circuito funciona como un conmutador que solo permite
seleccionar la lectura de una de las entradas, pero debido a la gran velocidad de cambio
que tiene el circuito, se puede llegar a creer que puede leer todas las entradas al mismo
tiempo.

172
Electrónica Analógica Y Digital

Figura N° 184: Funcionamiento de multiplexor

Partes de los multiplexores


Al ser un circuito combinacional este dispositivo cuenta con pocas partes y el
funcionamiento de cada una es bastante intuitiva de comprender.
• Entradas: Es por donde entran los datos y siempre tienen un número de entradas de
2^n (2,4,8,16, etc).
• Salida: Es la única vía para que salgan los datos del circuito, aunque cabe mencionar
que también se incorpora una salida extra que prácticamente es el inverso, es decir,
si en la salida tenemos un 1 en la inversa tenemos un 0.
• Selectores: Los selectores sirven para elegir la entrada que queremos activar para
leer datos, esta selección se hace a través de números binarios.
• Enable: Sirve para activar o desactivar el circuito completo

Figura N° 185: Partes del multiplexor

Tipos de multiplexores
A pesar de que existen diferentes métodos para multiplexar una señal, en realidad la
estructura de estos circuitos no cambia. Ya que los podemos encontrar con ligeras

173
Electrónica Analógica Y Digital

variaciones en donde se aumentan las entradas de los circuitos. Dentro de estas


variaciones encontramos los multiplexores de:
• 2 a 1 (2 entradas, 1 salida)
• 4 a 1 (4 entradas, 1 salida)
• 8 a 1(8 entradas, 1 salida)
• 16 a 1 (16 entradas, 1 salida)

Aplicaciones de los multiplexores


Este tipo de dispositivos prácticamente se utiliza para reducir los componentes de
transmisión y también para mandar señales a largas distancias. Ya que nos ayuda a
mandar diferentes señales en una sola línea y evitar tener que mandar diferentes
señales en diferentes líneas. Dentro de las aplicaciones más comunes de los
multiplexores nos encontramos con:
• Sistemas de comunicación: Para evitar gastos en instalaciones y tener una infinidad
de líneas de transmisión. Se juntan todas las señales de audio y video para
mandarlas hacia el demultiplexor mas cercano a la zona que se quiere mandar la
señal.
• Memoria de las pc: Para evitar tener demasiadas pistas de cobre en los
componentes de las computadoras se utilizan los multiplexores para mandar todos
los datos a través de una sola pista.

Figura N° 186: Aplicaciones de multiplexor

Es importante mencionar que los multiplexores solo son capaces de juntar todas las
señales y crear una señal única para ser transferida hacia otros lugares. Pero este
componente por si solo no puede revertir las señales, si no que necesita de un sistema
donde se incorpora un demultiplexor que básicamente hace el funcionamiento contrario,
es decir tiene una sola señal de entrada y separa la señal en diferentes salidas. Leer
sobre los demultiplexores.

174
Electrónica Analógica Y Digital

Demultiplexores
A grandes rasgos los demultiplexores o también conocidos como «DEMUX» son
dispositivos que nos sirven para recibir una señal eléctrica y dividirla en diferentes
salidas. Es decir que, estos dispositivos solo tienen una entrada y varias salidas.

Figura N° 187: Demultiplexor

¿Cómo funciona un demultiplexor?


El funcionamiento de este dispositivo, prácticamente es el mismo que el de un
multiplexor pero a la inversa. Por lo tanto, de una señal de entrada se divide en
diferentes salidas. Este dispositivo también utiliza compuertas lógicas para separar las
señales. Dentro de las compuertas solo utiliza dos tipos, la AND que tiene todas las
entradas de la señal de entrada unidas y una NOT que sirve para hacer la selección de
que compuerta activar.

Figura N° 188: funcionamiento de demultiplexor

Partes de un demultiplexor
Al ser parte de los circuitos combinacionales este dispositivo cuenta con pocas partes y
el funcionamiento de cada una es bastante intuitiva de comprender.
• Entrada: Solo tiene una entrada y es por donde entra la señal que queremos
demultiplexar o dividir.
• Salidas: Es por donde salen los datos ya divididos y siempre tienen un número de
2^n (2,4,8,16, etc).

175
Electrónica Analógica Y Digital

• Selectores: Los selectores sirven para elegir la entrada que queremos activar para
leer datos, esta selección se hace a través de números binarios.
• Enable: Sirve para activar o desactivar el circuito completo

Figura N° 189: Parte de demultiplexor

Tipos de demultiplexores
A pesar de que existen diferentes métodos para demultiplexar una señal, en realidad la
estructura de estos circuitos no cambia. Ya que los podemos encontrar con ligeras
variaciones en donde se aumentan las salidas de los circuitos. Dentro de estas
variaciones encontramos los demultiplexores de:
• 1 a 2 (1 entrada, 2 salidas)
• 1 a 4 (1 entrada, 4 salidas)
• 1 a 8 (1 entrada, 8 salidas)
• 1 a 16 (1 entrada, 16 salidas)

Aplicaciones de los demultiplexores


Estos componentes son el complemento de los Multiplexores y debido a que siempre
se utilizan en conjunto, prácticamente tienen las mismas aplicaciones. A continuación
solo mencionaremos algunas para conceptualizar bien el funcionamiento de los dos
dispositivos cuando están interconectados.

Transmitir datos a largas distancias


Existen ocasiones en donde se tienen que transmitir señales de información a través de
largas distancias. Pero, el tener que conectar diferentes cables, tiene muchas
desventajas como elevar los costos, interferencias en cada una de las líneas, cables
rotos o dañados, etc. Es por eso que se utilizan los sistemas de multiplexores y
«demultiplexores» para poder mandar la información a través de un solo cable y así
reducir los riesgos de tener varios canales de transmisión que llegan a un solo lugar.

176
Electrónica Analógica Y Digital

Figura N° 190: Transmisión a las largas distancias

Mandar señales a diferentes dispositivos


Con la ayuda de estos dispositivos es posible mandar instrucciones a diferentes
dispositivos y/o meter diferentes funciones al mismo dispositivo. Por ejemplo, de una
misma señal podemos mandar instrucciones a diferentes dispositivos como una tableta,
una cámara, un televisor y una impresora.

Figura N° 191: Señales a diferentes dispositivos

Conclusión
Como conclusión podemos decir que los sistemas de multiplexado y demultiplexado son
una gran herramienta para la electrónica digital. Ya que, se pueden transmitir datos a
largas distancias con una reducción de la infraestructura y una simplificación de los
circuitos. También es importante mencionar que para utilizar estos métodos, es
necesario tener una mayor comprensión técnica de los componentes y una buena
sincronización del sistema. Puesto que un pequeño desajuste, puede causar una mala
transmisión de los datos y por ende un mal funcionamiento.

177
Electrónica Analógica Y Digital

TAREA N°13

ELECTRÓNICA DIGITAL
Implementar circuitos usando comparadores y sumadores

Comparadores y Sumadores.
Comparadores
Un circuito digital comparador realiza la comparación de dos palabras A y B de N bits
tomadas como un número entero sin signo e indica si son iguales o si una es mayor que
otra en tres salidas A = B, A > B y A < B. Bajo cualesquiera valores de A y B una y sólo
una de las salidas estará a 1, permaneciendo las otras dos salidas a 0.

Figura N° 192: Comparador

Comparador de un Bit
La comparación de dos bits se puede realizar por medio de una puerta XOR o una
XNOR. La salida del circuito es 1 si sus dos bits de entrada son diferentes y 0 si son
iguales.

Figura N° 193: Comparador de un bit

178
Electrónica Analógica Y Digital

Comparador de dos Bits


Para unos operandos A y B de un bit se puede desarrollar un comparador de la siguiente
tabla:

Figura N° 193: Comparador de dos bits

• En la práctica se encuentran comparadores de números de 4 a 8 bits, que disponen


de otras entradas para poder realizar la comparación entre números de más bits.
• Un ejemplo es el 74LS85. Es un comparador de números de 4 bits pero, que
además, tiene otras 3 entradas, llamadas entradas de expansión que nos permite
conectar varios comparadores en cascada

Figura N° 194: Ejemplo 74LS85

Diagrama lógico del 74LS85

179
Electrónica Analógica Y Digital

Figura N° 195: Diagrama lógico 74LS85

Tabla de verdad del comparador de 4 bits 74LS85.

Figura N° 196: Tabla lógica 74LS85

180
Electrónica Analógica Y Digital

Comparador para N- Bits

▪ Con este comparador podemos no solo comparar números de 4 bits, sino del número
de bits que queramos utilizando las entradas de expansión que nos permite conectar
varios comparadores en cascada. Un ejemplo sería el siguiente.

▪ Diseñar un comparador de 2 números de 8 bits utilizando 2 chips 74LS85.

Figura N° 197: Diseño de 8 bits

Utilizando el mismo chip 74LS85, el fabricante nos muestra un comparador de dos


números de 24 bits.

181
Electrónica Analógica Y Digital

Figura N° 198: Diagrama lógico de 24 bits

VHDL de un comparador de 4 bits


library ieee;
use.ieee.std_logic_1164.all; entity compara4 is
port (A, B: in std_logic_vector(3 downto 0); AGB, ALB, AEB: out std_logic);
end compara4;
architecture comportamiento of compara4 is
begin
AGB <= ‘1’ when ( A > B ) else ‘0’; -- A > B, salida AGB a 1, resto a 0 ALB <= ‘1’ when (
A < B ) else ‘0’; -- A < B, salida ALB a 1, resto a 0 AEB <= ‘1’ when ( A = B ) else ‘0’; --
A = B, salida AEB a 1, resto a 0
end comportamiento;

Sumadores
En electrónica un sumador es un circuito lógico que calcula la operación suma. En los
computadores modernos se encuentra en lo que se denomina Unidad aritmético lógica
(ALU). Generalmente realizan las operaciones aritméticas en código binario decimal o
BCD exceso 3, por regla general los sumadores emplean el sistema binario. En los
casos en los que se esté empleando un complemento a dos para representar números
negativos el sumador se convertirá en un sumador- substractor (Adder-subtracter).

Tipos de sumadores:
• Half-adder.
• Full-Adder.

182
Electrónica Analógica Y Digital

• Carry-Look-Ahead.
• Carry-select.

Half-adder
Se denomina semisumador al circuito combinacional capaz de realizar la suma
aritmética binaria de dos únicos bits A y B, proporcionando a su salida un bit resultado
de suma S y un bit de acarreo C. En la siguiente figura se muestra la tabla de verdad
de este circuito con sus funciones, acompañado de un esquema del Half-Adder.

Figura N° 199: Half-adder

Full-Adder
Este dispositivo nos ofrece una mejora del semisumador al cual se le añade un acarreo
de entrada.
De esta manera podemos afrontar sumas de más de un bit para las cuales utilizaremos
el acarreo de salida del anterior en el acarreo de entrada del siguiente. Así completamos
la suma correctamente.
A continuación vemos la tabla de verdad y un esquema.

Figura N° 200: Full-Adder

183
Electrónica Analógica Y Digital

Método Ripple
Un sumador de dos informaciones binarias A+B de n bits necesita realizar n sumas
parciales, empleando para ello n sumadores completos.
Esto nos hace conectar el acarreo de salida con el siguiente acarreo de entrada de
manera que podamos realizar la suma del siguiente bit con acarreo.
Es un circuito muy simple e intuitivo pero presenta el serio inconveniente de tener que
esperar un tiempo igual a n tiempos de propagación antes de obtener un resultado
estable.

Figura N° 201: Método Ripple

VHDL Half-Adder

Carry Look Ahead


Este sumador, llamado también sumador paralelo con acarreo anticipado, realiza la
suma aumentando la velocidad de proceso sobre la conexión en serie. Lo logra
mediante la generación de todos los bits de acarreo en el mismo proceso de calculo de

184
Electrónica Analógica Y Digital

las sumas parciales.


Al sumar dos informaciones se obtendrá el acarreo por dos posibilidades:
• Se genera acarreo en la propia etapa del sumador. Generado (A=B=1)
Gj = Aj * Bj
• Proviene de la etapa anterior. Propagado
Pj = Aj Bj

Por tanto el acarreo producido en la etapa i-ésima Ci será porque se genera o propaga
y se expresará:
Ci=Gi+ Pi Ci-1=Ai Bi + (Ai + Bi) Ci-1

Para un sumador de cuatro bits (i=0, … , 3) se tiene que:

Figura N° 202: Carry look Ahead

Carry Select
En este tipo de sumador se realiza un acarreo mixto basado en sumadores y
multiplexores, donde la generación de acarreo en cada sumador se realiza en paralelo
y la propagación en cada multiplexor en serie.

El tiempo de propagación de este sumador depende del tiempo de propagación de la


primera etapa, más el tiempo de propagación de los (M/N-1) multiplexores para
propagación del acarreo. A cambio el circuito es bastante más grande que la estructura
“ripple”.

185
Electrónica Analógica Y Digital

Figura N° 203: Carry select

Otras Aplicaciones
A base de sumadores se pueden desarrollar otras aplicaciones como por ejemplo un
restador o un multiplicador vamos a explicar el restador y un sumador/restador.
Este dispositivo puede verse como A – B = A + (-B) , para la conversión del operando B
se emplea la codificación en complemento a dos.
A continuación vemos un restador con un 83.

Figura N° 204: Sumador restador

Un sumador/restador necesita una entrada de control C, que indique si se realiza la


operación de suma o de resta. Para hacer la resta se requiere el c-a-2, luego los
operandos X e Y, y la salida Z están en esta notación.
Si C = 0 => Z = X + Y + 0
Si C = 1 => Z = X – Y = X + Y + 1
Para el operando A del sumador da igual el valor de C, A <= X Para el operando B si C
= 0 => B <= Y, si C = 1=> B <= Y, luego B = C Y + C Y = C Å Y
Para Cin, si C = 0 => Cin <= 0, si C = 1=> Cin <= 1, luego Cin = C

186
Electrónica Analógica Y Digital

Figura N° 205: Sumador 74LS83

187
Electrónica Analógica Y Digital

TAREA N°14

ELECTRÓNICA DIGITAL
Implementar circuitos usando memorias

Memorias.
En los sistemas digitales, no combinacionales, de proceso debe existir una unidad de
memoria en la que se almacenen los datos, los resultados parciales y los resultados
finales del proceso. Cuando el sistema digital de proceso es programable, además, han
de memorizarse las instrucciones del programa.
El esquema del dispositivo de memoria que vamos a utilizar es el siguiente:

Figura N° 206: Esquema de la memoria

Donde el significado de cada línea es el siguiente:


• Entrada/Salida de datos. Podemos disponer de líneas de datos de entrada y salida
de forma separada, como en el dibujo, o una única línea para ambas acciones.

Las operaciones básicas para realizar por una memoria son:


• Escritura o almacenamiento. Consiste en grabar la información en la posición
deseada.
• Lectura. Consiste en suministrar al exterior, la información previamente escrita en
una posición.
• Dirección. Toda memoria está dividida en “porciones”, de forma que el acceso a la
memoria, bien para escritura o bien para lectura, se realiza sobre una determinada
de esas porciones. Para poder especificar a cuál de ellas queremos acceder, a cada
una se le asigna un identificador. A este identificador se le denomina de manera
genérica dirección de memoria.

Para que un elemento pueda ser utilizado como dispositivo de memoria, debe cumplir
los cuatro requisitos siguientes:

188
Electrónica Analógica Y Digital

• Debe existir un medio o soporte donde se almacenen estados de energía diferentes


• (generalmente se diferencian sólo dos estados, los necesarios para la información
binaria).
• Debe existir un transductor de escritura, que genere la energía necesaria para poner
el soporte en el estado deseado.
• Debe existir un transductor de lectura, que permita excitar el soporte para detectar
el estado en que está.
• Finalmente debe existir un mecanismo de direccionamiento, que permita seleccionar
la información concreta que se desea leer o escribir.

La mayoría de las memorias emplean el almacenamiento binario. Esto significa que la


información más elemental registrada es el bit, a cuyo soporte físico llamaremos punto
de memoria.
El punto de memoria puede definirse perfectamente, así como individualizarse
claramente y constará de: dispositivo de almacenamiento, dispositivo de lectura y
dispositivo de escritura. Puede ser una zona de una superficie continua como ocurre en
una cinta magnética.

Características De Las Memorias.


• Volatilidad. Se dice que una memoria es volátil si la información almacenada
desaparece si se corta la alimentación eléctrica. Las memorias semiconductoras son
volátiles.
• Tiempo de acceso. Es el tiempo que transcurre entre el instante en que se ordena
una operación de lectura y el instante en que se dispone de la primera información.
Pensando en que la escritura o lectura es algo de lo que se sirve un dispositivo como el
microprocesador, se define lo que se llama tiempo de ciclo. Se trata del tiempo que debe
transcurrir entre dos operaciones de lectura o escritura consecutivas. Ello es debido a
que los procesos de escritura/lectura, no requieren en sus fases terminales la atención
completa del microprocesador, pudiendo comenzar a realizar otras operaciones
mientras tanto.

Modo de direccionamiento (o de selección del punto de memoria).


• acceso aleatorio: si el tiempo de acceso no depende de la ubicación de los datos en
la memoria.
• Acceso secuencial: si el tiempo de acceso depende de la localización del elemento
de memoria a utilizar.

Capacidad. Se denomina capacidad de una memoria a la cantidad de información que


puede almacenar. Dado que la información se almacena en el sistema binario, la
capacidad se mide en la unidad de medida de la información binaria, que es el bit. La
capacidad, por tanto, se puede considerar como el número total de bits.
En la práctica, la información se almacena en grupos de bits denominadas palabras o
posiciones formales constituidas por un determinado número de bits accesibles

189
Electrónica Analógica Y Digital

simultáneamente, y por lo tanto, el número de palabras de una memoria viene dado por
el cociente (número de bits totales / bits por palabra). En consecuencia, la capacidad de
una memoria se puede expresar indicando el número de palabras que puede almacenar.
Por cuestiones históricas la unidad de medida de capacidad de la memoria en la práctica
suele ser el Byte=8bits.
Dada la gran capacidad de las memorias actuales, para expresar su capacidad se usan
los siguientes múltiplos de cualquiera de las unidades anteriores:
• K = 210 = 1024 unidades
• Mega = M = 210 K = 210 · 210 unidades
• Giga = G = 210 M = 210 · 210 · 210 unidades
• Tera = T = 210 G = 210 · 210 · 210 · 210 unidades

Caudal. Es el número máximo de informaciones leídas o escritas por unidad de tiempo.


El caudal se expresa generalmente en K-informaciones o metainformaciones por
segundo. Por ejemplo, se habla de un caudal de megabytes por segundo.

Clasificación De Las Memorias.


Se pueden establecer distintas clasificaciones, atendiendo a distintos criterios. Algunas
de éstas son las siguientes.

Según el tiempo de permanencia.


Se refiere al tiempo que permanece la información graba en la memoria:
• No volátil o duradera. Una vez escrito un punto de memoria, su información no se
borra hasta que se vuelva a escribir sobre él. Por ejemplo los medios magnéticos.
• Volátil. La información desaparece si se deja de suministrar energía a la memoria.
Por ejemplo, las memorias de semiconductores.
• Con refresco. Aunque la memoria esté alimentada, su información se va degradando
paulatinamente, llegando un momento en que no se puede leer correctamente. Para
que este tipo de memorias sean útiles deben refrescarse periódicamente, esto es,
deben reactivarse para regenerar los estados de sus puntos de memoria. Por ejemplo
un condensador que se descarga por las corrientes de fuga, o las memorias RAM
dinámicas.
• Permanente o de sólo lectura (memorias muertas o pasivas). Son aquellas que
contienen siempre la misma información y no pueden borrarse. La información puede
grabarse en el proceso de fabricación o posteriormente, en un proceso de grabación
destructivo o permanente. Por ejemplo las memorias ROM de semiconductores.

Según la forma de acceso.


• Acceso aleatorio (RAM). El tiempo de escritura/lectura es independiente de la
localización de la información dentro de la memoria.
Dentro de este tipo de memorias podemos hacer la siguiente clasificación,
basándonos en la permanencia de la información en ellas:

190
Electrónica Analógica Y Digital

1. Memorias activas. Los tiempos de escritura/lectura (R/W) son del mismo orden.
Según como se realice la operación de lectura/escritura tenemos:
a) Lectura/escritura no simultánea. En estas memorias sólo se puede
seleccionar una posición de memoria simultáneamente y realizar en ella la
operación de lectura o escritura.
b) Lectura/escritura simultánea. Se pueden realizar ambas operaciones a la
vez, pero sobre posiciones de memoria distintas.
c) Acceso múltiple. Permiten realizar ambas operaciones simultáneamente y
sobre múltiples posiciones de memoria.

2. Memorias pasivas. En éstas los tiempos de escritura y lectura difieren


considerablemente, siendo generalmente mucho mayor el de escritura. Los tipos
más importantes son:
a) Totalmente pasivas (ROM). La escritura se realiza en el momento de la
fabricación, siendo imposible escribir sobre ellas después (tiempo de escritura
infinito).
b) Pasivas programables (PROM). El proceso de escritura sólo puede
realizarse a través de aparatos especiales y es mucho mayor que el de lectura.
Una vez almacenada la información, basta con lanzar un impulso eléctrico para
que la información quede grabada para siempre.
c) Pasivas reprogramables (RPROM). Son iguales que las PROM, salvo que el
método de escritura se puede usar para borrar y volver a escribir. El número de
veces que se puede realizar esta operación no es ilimitado.
Acceso serie o secuencial. El tiempo de escritura/lectura depende de la
localización del lugar de la memoria donde queremos realizar esas operaciones.
Un ejemplo de éstas son las cintas.

Según la mínima información accesible.


• De bit. Por ejemplo, un registro.
• De palabra. Por ejemplo las memorias de semiconductores.
• De bloque. En este caso, para leer un dato contenido en un bloque, primero hemos
de leer el bloque completo (crear una copia en una zona de memoria), y luego una
búsqueda serie del dato dentro del bloque.

Jerarquía De Las Memorias.


En una máquina lo ideal sería disponer de una memoria central muy rápida y de gran
capacidad. Esta solución resulta demasiado costosa y en cualquier caso irrealizable
técnicamente ya que la capacidad de direccionamiento del microprocesador es limitada.
Los parámetros que caracterizan a las diferentes tecnologías para la fabricación de
memorias son:
• El coste por bit.
• El tiempo que se emplea en acceder a la información.
• La capacidad de almacenamiento.

191
Electrónica Analógica Y Digital

La memoria se suele estructurar en varios niveles debido al compromiso coste-


capacidad- velocidad (velocidades altas significan costes también altos, y de igual forma,
grandes capacidades supone aumento del coste). Existirá un nivel rápido de pequeña
capacidad, y niveles sucesivos de menor velocidad, pero mayor capacidad. La
información se ubicará en uno de los niveles, de acuerdo a su probabilidad de uso. Así
un programa poco empleado o unos datos de archivo, estarán almacenados en un nivel
inferior más lento y de mayor capacidad. Si en un momento determinado se necesita
esta información, se transfiere al nivel superior más rápido para ser utilizada

Por ello se ha llegado a establecer una jerarquía de las memorias, fundamentalmente


en dos niveles:
• Una memoria central relativamente rápida pero de capacidad muy limitada.
• Una memoria auxiliar de mucha mayor capacidad pero con un tiempo de acceso
considerable.

Sin embargo, dentro de un computador pueden aparecer más niveles. Los que suelen
existir son:
• Registros: Son de acceso aleatorio y muy pequeña capacidad y tiempo de acceso.
Lo constituyen los registros internos del microprocesador. Son volátiles y de
semiconductores.
• Memorias caché: Las características son idénticas a las de los registros,
aumentando un poco su capacidad y tiempo de acceso. Se sitúan, en general, fuera
del microprocesador. Se usan, como veremos, para acelerar los accesos a la
memoria principal.
• Memoria central: Son de semiconductores y acceso aleatorio. Su función, como
veremos, es la de almacenar las instrucciones y datos a los que accede la CPU.
• Memorias secundarias o masivas de tipo bloque: Son memorias de mucha más
capacidad que la central, pero también de tiempo de acceso mayor. Son de acceso
directo o aleatorio y no volátiles. La mínima información accesible es un bloque de
información llamado sector o segmento (cluster). Son los discos magnéticos. Otra
característica de estas memorias es su gran caudal de información.
• Memorias secundarias o masivas tipo fichero: La mínima información accesible
es el fichero. Son las cintas magnéticas. Se caracterizan por su acceso secuencial,
que implica tiempos de acceso elevados, incluso de hasta varios minutos, pero de
una gran capacidad, mayor que las anteriores.
Cada vez que se quiere leer un dato, se carga previamente todo el fichero en
memoria y, a continuación, se aísla el dato.

Memorias Especializadas.
Dentro de esta categoría incluimos las memorias que, por la peculiaridad de su
funcionamiento, están abocadas a aplicaciones especiales.
Se distinguen tres tipos de memorias especializadas: las colas de espera y pilas, las
memorias muertas y las memorias asociativas.

192
Electrónica Analógica Y Digital

Colas de espera y pilas.


Las pilas y las colas de espera son dos conceptos que designan organizaciones
particulares de datos en la memoria central del ordenador, en las que el orden de
utilización de las informaciones depende del orden en que han sido introducidas y por
lo tanto son de acceso secuencial.
La cola de espera funciona según el principio "primero en llegar, primero en salir". Al
escribir información, el nuevo dato se añade a continuación del último dato escrito. Al
leer información, ésta se realiza en el orden en que fueron almacenados los datos, es
decir, si se quiere acceder a un determinado datos, primero hay que leer todos las que
la precedieron en el orden de llegada. Es lo que se conoce con las siglas inglesas "FIFO"
(First Input First Output).
El funcionamiento de la pila es mediante el esquema "último en llegar, primero en salir".
Al escribir información, el nuevo dato se añade, como antes, a continuación del último
dato escrito. Al leer información, ésta se realiza en el orden inverso en que fueron
almacenados los datos, es decir, para leer una determinada información, primero hay
que leer todas las informaciones que llegaron después. Es lo que se conoce con las
siglas "LIFO" (Last Input First Output).

Memorias asociativas (CAM).


La característica diferenciadora de este tipo de memorias es que los procesos de
escritura/lectura no se realizan por especificación de una dirección concreta.
En la operación de lectura no se indica la dirección de memoria donde ha de localizarse
la información que queremos obtener, sino que se suministra una información llamada
descriptor a la memoria para observar si esta lo contiene en alguna posición.
Concretamente existen dos métodos:
a. La información almacenada en una posición se divide en dos campos. El primero es
el de comparación o campo clave. El segundo es el de la información en sí. Por tanto
para llegar a un dato se especifica, en el descriptor, el contenido del campo clave
asociado. Se recorren todos los campos hasta dar con el que coincida con el
descriptor. Determinada la posición, se procede a leer la información que almacena.
b. La información de una posición sólo consta de un campo. Esta se compara con el
descriptor. Ahora la memoria da como respuesta un bit cuyo estado indica si existe
alguna información en la memoria que coincida con el descriptor.

Así pues, no se realiza una lectura propiamente dicha, sino que lo que se hace es
verificar si la información está en la memoria o no.

La estructura asociativa de una unidad de memoria es adecuada para el


almacenamiento de ciertos tipos de organizaciones de datos. Por ejemplo, una tabla de
clientes con su dirección como dato asociado se debe organizar en forma asociativa
para que al preguntar a la memoria el nombre de un cliente, ésta de a la salida su
dirección.
Desde el punto de vista de la operación de escritura, se puede realizar de dos formas:

193
Electrónica Analógica Y Digital

a. Aleatoriamente, es decir, se direcciona a una posición libre cualquiera, y en ella se


deposita la información externa.
b. Con lectura previa, consiste en realizar previamente a la escritura, una lectura para
saber si existe alguna posición de memoria que contenga una información idéntica a
la que queremos almacenar.

Si existía, se reemplaza la información asociada al campo de comparación por la


presente en la entrada (equivale a una puesta al día). Si no existía, se introduce toda la
información de entrada en la primera posición vacía de la memoria.

Figura N° 207: Memoria

194
Electrónica Analógica Y Digital

TAREA N°15

ELECTRÓNICA DIGITAL
Implementar circuitos usando conversores A/D y D/A

Conversores A/D y D/A.


Conversor de señal analógica a digital
Un conversor o convertidor de señal analógica a digital (Conversor Analógico Digital,
CAD; Analog-to-Digital Converter, ADC) es un dispositivo electrónico capaz de convertir
una señal analógica, ya sea de tensión o corriente, en una señal digital mediante un
cuantificador y codificándose en muchos casos en un código binario en particular.
Donde un código es la representación unívoca de los elementos, en este caso, cada
valor numérico binario hace corresponder a un solo valor de tensión o corriente.

En la cuantificación de la señal se produce pérdida de la información que no puede ser


recuperada en el proceso inverso, es decir, en la conversión de señal digital a analógica
y esto es debido a que se truncan los valores entre 2 niveles de cuantificación, mientras
mayor cantidad de bits mayor resolución y por lo tanto menor información perdida.

Se utiliza en equipos electrónicos como computadoras, grabadores de sonido y de


vídeo, y equipos de telecomunicaciones.

Figura N° 208: Conversor A/D

Funcionamiento
Muestreo
Es el proceso de tomar muestras de la señal a intervalos periódicos. Es una modulación
por amplitud de pulsos PAM. Matemáticamente se expresa como:

195
Electrónica Analógica Y Digital

Circuitalmente se puede implementar mediante una llave electrónica (como lo puede


ser un transistor JFet) y capacitor para retención. O mediante un circuito multiplicador
implementando con amplificadores operacionales.

Cuantificación y codificación
En general el proceso de cuantificación y codificación es realizado en el mismo paso
salvo que se necesite realizar una codificación específica.

Tipos de conversores usuales


Conversor de aproximaciones sucesivas: es el empleado más comúnmente cuando
se requieren velocidades de conversión entre medias y altas del orden de algunos
microsegundos a décimas de microsegundos. Usa un comparador para estrechar
sucesivamente un rango que contenga el voltaje de entrada. En cada paso sucesivo, el
conversor compara el voltaje de entrada con la salida de un conversor de digital a
analógico interno, que puede representar el punto medio del rango de voltaje
seleccionado. Por cada paso en este proceso, la aproximación se guarda en un registro
de aproximación sucesiva (SAR por sus siglas en inglés). Por ejemplo, se considera un
voltaje de entrada de 6,3V, y el rango inicial es de 0 a 16V. En el primer paso, la entrada
de 6,3V se compara con 8V (el punto medio del rango 0–16V). El comparador informa
de que el valor de entrada es menor de (V, así que el SAR se actualiza para estrechar
el rango a 0–8V. En el segundo paso, el voltaje de entrada se compara con 4 (punto
medio de 0–8). El comparador informa de que el voltaje de entrada está por encima de
4V, así que el SAR se actualiza para reflejar que el voltaje de entrada está en el rango
4–8V. En el tercer paso, el voltaje de entrada se compara con 6V (punto medio en el
rango 4–8V). El comparador informa de que el voltaje de entrada es mayor que 6V, y el
rango de búsqueda se convierte en 6–8V. Los pasos continúan hasta que se consigue
la resolución deseada.1

Conversor de rampa: se emplea en aquellos casos en los que no se requiere una gran
velocidad, pero en los que es importante conseguir una buena linealidad.2 Este
conversor produce una onda de sierra que sube o baja y regresa rápidamente a cero.
Cuando comienza la subida o la bajada (con la "rampa"), un temporizador empieza a
contar. Cuando el voltaje de la rampa alcanza el voltaje de entrada, se dispara un
comparador, y se graba el valor del temporizador. Este tipo de convertidores necesitan
el menor número de transistores. El tiempo de la rampa es sensible a la temperatura
porque el circuito que genera la rampa es a menudo un simple oscilador. Hay dos
soluciones: utilizar un contador con reloj que conduce un conversor digital a analógico
y luego usar el comparador para preservar el valor del contador, o calibrar la rampa
cronometrada. Una ventaja del conversor de rampa es que comparar una segunda señal
sólo requiere otro comparador y otro registro para almacenar el valor de la tensión.

196
Electrónica Analógica Y Digital

Conversor de señal digital a analógica


Un conversor de señal digital a analógica o conversor digital analógico, CDA o DAC (del
inglés, digital to analogue converter) es un dispositivo para convertir señales digitales
con datos binarios en señales de corriente o de tensión analógica. Hay distintos
componentes que pueden intervenir en este proceso, como interruptores simples, red
de resistores, fuentes actuales o condensadores. Un convertidor de analógico a digital
(ADC) realiza la operación inversa.

Las señales en la naturaleza tienen las características de ser continuas en su magnitud


y en el diagrama temporal. La digitalización es necesaria para el procesamiento,
almacenamiento y filtrado de señales analógicas con los beneficios que las señales
digitales conllevan, como mayor inmunidad al ruido, circuitos electrónicos más simples
para el procesamiento y almacenamiento. Representación unívoca de los elementos,
cuya cantidad de símbolos es proporcional a 2 n, siendo n la cantidad de bits.

Figura N° 209: Conversor D/A

Especificaciones de un DAC
Existe una amplia variedad de DAC como circuitos integrados o bien como paquetes
encapsulados. Hay que conocer las especificaciones más importantes de los
fabricantes a fin de evaluar un DAC en una determinada aplicación.

• Resolución: La resolución porcentual de un DAC depende única y exclusivamente


del número de bits de entrada del convertidor. Por esta razón, en las fichas técnicas
se detalla de esta manera. Un DAC de 10 bits tiene una resolución más sensible
(mayor exactitud) que uno de 8 bits. Este dato es extrapolable a las especificaciones
de lectores de CD o equipos integrados.
• Precisión: Los fabricantes de DAC tienen varias maneras de establecer la precisión
o exactitud. A las dos más comunes se las llama Error de Escala Completa y Error
de Linealidad (o en ocasiones, directamente linealidad), que normalmente se
expresan como un porcentaje de la salida de escala completa del convertidor (%FS).
El error de escala completa es la máxima desviación de la salida del DAC de su valor
estimado (teórico).
El error de linealidad es la desviación máxima en el tamaño de etapa del teórico.

197
Electrónica Analógica Y Digital

Algunos de los DAC menos económicos tienen errores de escala completa y de


linealidad en el intervalo 0.01% - 0.1%.
• Tiempo de respuesta: La velocidad de operación de un DAC se explica como tiempo
de respuesta, que es el periodo que se requiere para que la salida pase de cero a
escala completa cuando la entrada binaria cambia de todos los ceros a todos los
unos. Los valores comunes del tiempo de respuesta variarán de 50 ns a 10 ms. En
general, los DAC con salida de corriente tendrán tiempos de respuesta más breves
que aquellos con una de voltaje. Por ejemplo, un DAC puede operar como salida de
corriente o bien de voltaje. Su tiempo de respuesta a su salida es menor cuando se
utiliza salida de corriente que cuando se emplea la de voltaje.
• Voltaje de balance: En teoría, la salida de un DAC será cero voltios cuando en la
entrada binaria sean todo ceros. En la práctica, habrá un voltaje de salida pequeño
producido por el error de balance del amplificador del DAC. Este desplazamiento es
comúnmente 0.05% FS. Casi todos los DAC con voltaje tendrán una capacidad de
ajuste de balance externo que permite eliminar el desequilibrio.

Otras características son la posibilidad de conversión unipolar o bipolar; el código


utilizado en la información de entrada (generalmente, los convertidores digitales
analógicos operan con el código binario natural o con el decimal codificado en binario,
BCD), su tensión de referencia, que puede ser interna o externa (si es externa puede
ser variada entre ciertos márgenes); así mismo, deberá tenerse en cuenta la tensión de
alimentación, el margen de temperatura y su tecnología interna.

Aplicaciones de los DAC


Los DAC se utilizan siempre que la salida de un circuito digital tiene que ofrecer un
voltaje o corriente analógicos para impulsar o activar un dispositivo analógico. Algunas
de las aplicaciones más comunes se describen a continuación:
• Control: la salida digital de una computadora puede convertirse en una señal de
control analógica para ajustar la velocidad de un motor o para controlar casi
cualquier variable física.
• Análisis automático: los ordenadores personales pueden ser programados para
generar las señales analógicas (a través de un DAC) que se necesitan para analizar
circuitos analógicos. La respuesta de salida analógica del circuito de prueba
normalmente se convertirá en valor digital por un ADC.
• Control de amplitud digital: un DAC multiplicativo se puede utilizar para ajustar
digitalmente la amplitud de una señal analógica. Recordemos que éste genera una
salida que es el producto de un voltaje de referencia y la entrada binaria. Si dicho
voltaje es una señal que varía con el tiempo, la salida del DAC seguirá esta señal,
pero con una amplitud determinada por el código de entrada binario. Una utilización
normal de esta aplicación es el “control de volumen” digital, donde la salida de un
circuito o computadora digital puede ajustar la amplitud de una señal de audio.
• Convertidores A/D: varios tipos de convertidores A/D utilizan unos DAC que son
parte de sus circuitos. La mayoría de las señales de audio modernas se almacenan

198
Electrónica Analógica Y Digital

de forma digital (por ejemplo, MP3s y CD) y para poder ser escuchadas a través de
altavoces deben ser convertidas en analógicas. Lectores de CD, reproductores
digitales de la música, y tarjetas de sonido de los PC montan por ello un dispositivo
de este tipo de forma interna.

Implementación
La forma de implementar un DAC es mediante redes resistivas, ya sea red escalera o
red R-2R.

Método de las corrientes ponderadas


Conversor digital analógico (DAC) - método de corrientes ponderadas.
Cada llave d simboliza un conmutador, el cual puede ser implementado por transistores
JFET y en cuya compuerta está relacionada eléctricamente con la salida de un bit de
un circuito digital. Siendo dn el bit con mayor peso ponderado (MSB) y LSB el bit con
menor peso de ponderación. Mientras mayor es la ponderación del bit, mayor es la
corriente que circula por la rama. Dichas concurren en un único nodo y según ley de
corriente de Kirchhoff, la suma de estas convergen en la entrada no inversora, de
manera la tensión de salida es:

Figura N° 210: Conversor DAC

donde

La desventaja principal es que se requiere un rango de valores de resistencia y una


precisión muy grandes, difícilmente obtenibles en la práctica. Por ejemplo, para n = 10

199
Electrónica Analógica Y Digital

el error en la resistencia 2R debe ser < 1/211 ≅ 0,05 % para asegurar que el error total
sea menor que ½ salto de 1 LSB. Si en estas condiciones fuera 2R = 100 kΩ, entonces
2nR = 51,2 MΩ. Un valor menor para 2R redundaría en errores inadmisibles debidos a
la resistencia Ron de las llaves analógicas, que ronda los 100 Ω.

Redes escalera
Las redes escalera permiten reducir el rango de valores de las resistencias. En la figura
4 se muestra un ejemplo, aunque no profundizaremos sobre este tipo de circuitos ya
que en la actualidad están superados por las redes R-2R.

Figura N° 211: Redes escalera

Redes R-2R
Una red resistiva, como la indicada en la figura 3, tiene la particularidad de que
cualquiera sea el número de secciones la resistencia vista (excepto al final) es R. Este
circuito puede usarse como se muestra en la figura 6 para obtener un conversor digital
analógico muy eficiente.

Una implementación de la red R-2R en corriente es como se muestra en la figura 4. La


masa virtual en la entrada inversora del amplificador operacional garantiza que la
propiedad de la red R-2R se cumpla. Que el régimen sea igual al de la red escalera
original lo garantiza el hecho de que ya sea que la llave esté en una u otra posición, el
terminal de abajo de cada resistencia esta a un potencial 0 (ya sea masa real o virtual).
Dado que la corriente suministrada por el potencial de referencia es i = Vref / R, la forma
en que se reparten dichas corrientes permite concluir que

200
Electrónica Analógica Y Digital

Figura N° 212: Redes R-2R

Figura N° 213: Circuito R-2R

Tiempo de establecimiento
Es el máximo tiempo transcurrido luego de un cambio de código de entrada arbitrario
para alcanzar el valor analógico correspondiente con un error de a lo sumo ± 0,5 LSB.
El tiempo de establecimiento de un conversor DAC tiene dos componentes: una debida
al comportamiento dinámico lineal y otra debido al Slew-Rate del amplificador
operacional (fenómeno no lineal). La primera se debe a las capacidades parásitas en
paralelo con las llaves electrónicas, que hacen que la conmutación entre un código de
entrada y otro no sea instantánea. Sus características son similares a las de cualquier
transitorio, con una aproximación exponencial al valor final. La componente debida al
slew-rate del amplificador se caracteriza por un crecimiento lineal con pendiente fija, por
lo cual cuanto mayor sea la amplitud del salto (por ejemplo un cambio en la entrada de

201
Electrónica Analógica Y Digital

00...0 a 11...1) mayor será el tiempo de crecimiento. En general predomina el efecto del
slew-rate, salvo que se usen amplificadores de muy alta velocidad.

Sobrepico y glitch
El sobrepico es el resultado de una respuesta subamortiguada en el amplificador. El
glitch es un efecto similar a lo que, en lógica se denomina “aleatorio”, que consiste en
que las llaves no conmutan instantáneamente ni simultáneamente. Así, en un DAC de 8
bits, al pasar del código 127 al 128 en la entrada, podría haber un momento en que
todas las llaves conducen (es decir que se encienda la que corresponda al MSB antes
de apagarse las restantes), o viceversa. El resultado es un pico de corriente corto (de
uno u otro signo) pero de gran amplitud. Este pico podría atenuarse debido al slew-rate
del amplificador de salida.

202
Electrónica Analógica Y Digital

REFERENCIAS BIBLIOGRÁFÍCAS
Diodos
https://www.efectoled.com/blog/es/todo-sobre-los-diodos/
Análisis Por Medio De La Recta De Carga
https://tommytronica.blogspot.com/p/analisis-por-medio-de-la-recta-de-carga.html
Diodos rectificadores y recortadores
https://www.academia.edu/35434861/Diodos_rectificadores_y_recortadores
Rectificador de onda completa
https://es.wikipedia.org/wiki/Rectificador_de_onda_completa
Transistor de unión bipolar
https://es.wikipedia.org/wiki/Transistor_de_uni%C3%B3n_bipolar
Polarizando el transistor bipolar (BJT)
https://cifpn1.com/electronica/?p=4164
¿Qué es la ganancia de corriente de un transistor?
https://es.quora.com/C%C3%B3mo-se-determina-la-ganancia-de-un-
transistor#:~:text=%C2%BFC%C3%B3mo%20se%20determina%20la%20ganancia%20d
e%20un%20transistor%3F%20%2D%20Quora&text=En%20un%20transistor%20de%20ju
nctiones,por%20la%20corriente%20de%20base.
Transistor bipolar BJT y Arduino
https://programarfacil.com/blog/arduino-blog/transistor-bipolar-bjt-
npn/#:~:text=Las%20funciones%20de%20los%20pines,Base%3A%20pin%20de%20contr
ol
El Transistor Como Amplificador: ¿cómo Funciona?.
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Transistores
https://cursos.mcielectronics.cl/2019/06/18/transistores/#:~:text=Saturaci%C3%B3n%20%
E2%80%93%20El%20transistor%20act%C3%BAa%20como,desde%20el%20colector%2
0al%20emisor.
Cómo utilizar fotodiodos y fototransistores con mayor eficacia
https://www.digikey.com/es/articles/how-to-use-photodiodes-and-phototransistors-most-
effectively#:~:text=Los%20fototransistores%20y%20fotodiodos%20son,pulso%20%C3%B
3ptico%20de%20alta%20velocidad.
Diferentes tipos de PCB
https://www.orientdisplay.com/es/knowledge-base/pcb-basics/different-types-of-
pcb/#:~:text=Los%20PCB%20se%20dividen%20en,r%C3%ADgido%2Dflexible%20seg%
C3%BAn%20el%20material.
Diseño del PCB – La Mejor Guía Para Principiantes
https://www.ourpcbar.com/diseno-del-pcb-2.html

203
Electrónica Analógica Y Digital

Materiales Para PCB – La Guía Definitiva Para la Fabricación de PCB


https://www.ourpcbar.com/materiales-para-pcb.html
Definición De Circuito Integrado
https://definicion.de/circuito-integrado/
¿Qué Tipos De Circuitos Integrados Hay?
https://electropreguntas.com/que-tipos-de-circuitos-integrados-hay-2/
Laboratorio 01 OSCILADORES
https://docplayer.es/56953924-Laboratorio-01-osciladores-trabajo-presentado-por-jonan-
javier-coaquira-calli.html
Sistema de numeración:
https://concepto.de/sistema-de-numeracion/#ixzz8D4FrEfAF
Sistema octal:
https://www.matesfacil.com/ESO/sistemas-numeracion/base-octal/sistema-
numeracion-octal-base-ocho-ejemplos-teoria-propiedades-cambio-base-decimal-
ejercicios-resueltos.html
Sistema binario:
https://www.areatecnologia.com/sistema-binario.htm
Relación Sistema Hexadecimal y Sistema Binario
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Mapa de Karnaugh
https://bookdown.org/alberto_brunete/intro_automatica/mapa-de-karnaugh.html
Karnaugh Simplificación De Funciones
https://www.areatecnologia.com/electronica/karnaugh.html
Compuertas lógicas
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Flip flop ¿Qué es y como funciona?
https://www.ingmecafenix.com/electronica/componentes/flipflop/
Registros y contadores
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Que es un multiplexor, como funciona y que tipos existen
https://www.ingmecafenix.com/electronica/componentes/multiplexor/
Que es un Demultiplexor, como funciona y que tipos existen
https://www.ingmecafenix.com/electronica/componentes/que-es-un-demultiplexor/
Comparadores
https://personales.unican.es/manzanom/planantiguo/edigitali/Comp_G10_08.pdf
Sumadores
https://personales.unican.es/manzanom/planantiguo/edigitali/Sum_G11_08.pdf
Memorias: Conceptos Generales
https://www.infor.uva.es/~cevp/FI_II/fichs_pdf_teo/FI_II_tema5_MemConcGen_nue.pdf

204
Electrónica Analógica Y Digital

Conversor de señal digital a analógica


https://es.wikipedia.org/wiki/Conversor_de_se%C3%B1al_digital_a_anal%C3%B3gica

REFERENCIAS DE IMÁGENES
Figura N° 01: Diodo
https://www.efectoled.com/blog/es/todo-sobre-los-diodos/
Figura N° 02: Símbolo
https://www.efectoled.com/blog/es/todo-sobre-los-diodos/
Figura N° 03: Puente rectificador
https://tommytronica.blogspot.com/p/analisis-por-medio-de-la-recta-de-carga.html
Figura N° 04: Análisis de la recta
https://tommytronica.blogspot.com/p/analisis-por-medio-de-la-recta-de-carga.html
Figura N° 05: Trazo de la recta
https://tommytronica.blogspot.com/p/analisis-por-medio-de-la-recta-de-carga.html
Figura N° 06: Sentido de la corriente
https://www.academia.edu/35434861/Diodos_rectificadores_y_recortadores
Figura N° 07: Diodo rectificador
https://www.academia.edu/35434861/Diodos_rectificadores_y_recortadores
Figura N° 08: Diodo recortador
https://www.academia.edu/35434861/Diodos_rectificadores_y_recortadores
Figura N° 09: Forma de ondas
https://www.academia.edu/35434861/Diodos_rectificadores_y_recortadores
Figura N° 10: Recortador de diodo serie
https://www.academia.edu/35434861/Diodos_rectificadores_y_recortadores
Figura N° 11: Rectificador de media onda
https://www.academia.edu/35434861/Diodos_rectificadores_y_recortadores
Figura N° 12: Fundamento matemático
https://es.wikipedia.org/wiki/Rectificador_de_onda_completa
Figura N° 13: Polarización
https://es.wikipedia.org/wiki/Rectificador_de_onda_completa
Figura N° 14: Circuito
https://es.wikipedia.org/wiki/Rectificador_de_onda_completa
Figura N° 15: Ciclo
https://es.wikipedia.org/wiki/Rectificador_de_onda_completa

205
Electrónica Analógica Y Digital

Figura N° 16: Serie de Fourier


https://es.wikipedia.org/wiki/Rectificador_de_onda_completa
Figura N° 17: Rectificador de dos diodos
https://es.wikipedia.org/wiki/Rectificador_de_onda_completa
Figura N° 18: Tensión de entrada positiva
https://es.wikipedia.org/wiki/Rectificador_de_onda_completa
Figura N° 19: Tensión de entrada negativa
https://es.wikipedia.org/wiki/Rectificador_de_onda_completa
Figura N° 20: Puente de Graetz
https://es.wikipedia.org/wiki/Rectificador_de_onda_completa
Figura N° 21: Tensión rectificada
https://es.wikipedia.org/wiki/Rectificador_de_onda_completa
Figura N° 22: Transistor bipolar
https://es.wikipedia.org/wiki/Rectificador_de_onda_completa
Figura N° 23: Estructura de un transistor
https://cifpn1.com/electronica/?p=4164
Figura N° 24: Transistor muestra
https://cifpn1.com/electronica/?p=4164
Figura N° 25: Ejemplo de uso
https://cifpn1.com/electronica/?p=4164
Figura N° 26: Desplazamiento de punto de trabajo
https://cifpn1.com/electronica/?p=4164
Figura N° 27: Ganancia de Transistor bipolar
https://cifpn1.com/electronica/?p=4164
Figura N° 28: Paso de Darlington
https://cifpn1.com/electronica/?p=4164
Figura N° 29: Analogía de transistor
https://cifpn1.com/electronica/?p=4164
Figura N° 30: Transistor
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 31: Transistor NPN PNP
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 32: Funcionamiento de un transistor
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 33: Un transistor con 2 diodos
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 34: Estructura de un transistor
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/

206
Electrónica Analógica Y Digital

Figura N° 35: Estructura de transistor


https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 36: Analogía
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 37: Transistor ON
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 38: Transistor OFF
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 39: Flujo lineal
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 40: Funcionamiento de un transistor
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 41: Modo de saturación
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 42: Modo de corte
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 43: Modo activo
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 44: Emisor común
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 45: Circuito
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 46: Seguidor de emisor
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 47: Base común
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 48: Configuraciones de amplificadores
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 49: Darlington
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 50: Amplificador diferencial
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 51: Push pull
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 52: Amplificador operacional
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 53: Foto diodo y foto transistor
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/

207
Electrónica Analógica Y Digital

Figura N° 54: Funcionamiento de foto transistor


https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 55: Funcionamiento de circuito
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 56: FET
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 57: Funcionamiento de FET
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 58: Simbología de FET
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 59: Tipos de FET
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 60: Esquema físico de un JFET
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 61: Circuito físico
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 62: MOSFET
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 63: Variación de voltaje
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 64: Características de transferencia
https://electropreguntas.com/el-transistor-como-amplificador-como-funciona/
Figura N° 65: PCB
https://www.ourpcbar.com/diseno-del-pcb-2.html
Figura N° 66: Montaje PCB
https://www.ourpcbar.com/diseno-del-pcb-2.html
Figura N° 67: PCB de una cara
https://www.ourpcbar.com/diseno-del-pcb-2.html
Figura N° 68: PCB de doble cara
https://www.ourpcbar.com/diseno-del-pcb-2.html
Figura N° 69: PTH
https://www.ourpcbar.com/diseno-del-pcb-2.html
Figura N° 70: PCB multicapa
https://www.ourpcbar.com/diseno-del-pcb-2.html
Figura N° 71: Conexión eléctrica
https://www.ourpcbar.com/diseno-del-pcb-2.html
Figura N° 72: PCB rígido
https://www.ourpcbar.com/diseno-del-pcb-2.html

208
Electrónica Analógica Y Digital

Figura N° 73: PCB flexible


https://www.ourpcbar.com/diseno-del-pcb-2.html
Figura N° 74: PCB rígido flexible
https://www.ourpcbar.com/diseno-del-pcb-2.html
Figura N° 75: PCB de alta frecuencia
https://www.ourpcbar.com/diseno-del-pcb-2.html
Figura N° 76: Diseño del PCB
https://www.ourpcbar.com/diseno-del-pcb-2.html
Figura N° 77: Distribución del circuito
https://www.ourpcbar.com/diseno-del-pcb-2.html
Figura N° 78: calidad, el costo y la potencia de los materiales
https://www.ourpcbar.com/diseno-del-pcb-2.html
Figura N° 79: requisitos térmicos
https://www.ourpcbar.com/diseno-del-pcb-2.html
Figura N° 80: (Un PCB de aluminio que lleva luces LED)
https://www.ourpcbar.com/materiales-para-pcb.html
Figura N° 81: primer plano de un PCB que ha sido estañado
https://www.ourpcbar.com/materiales-para-pcb.html
Figura N° 82: (Detalles de cobre en una placa de circuito impreso)
https://www.ourpcbar.com/materiales-para-pcb.html
Figura N° 83: ( PCB verde con acabado en oro)
https://www.ourpcbar.com/materiales-para-pcb.html
Figura N° 84: (Una tabla de agujeros chapada)
https://www.ourpcbar.com/materiales-para-pcb.html
Figura N° 85: (Cómo hacer el grabado ideal)
https://www.ourpcbar.com/materiales-para-pcb.html
Figura N° 86: (Una placa PCB defectuosa)
https://www.ourpcbar.com/materiales-para-pcb.html
Figura N° 87: (Un PCB descompuesto)
https://www.ourpcbar.com/materiales-para-pcb.html
Figura N° 88: Circuito integrado
https://electropreguntas.com/que-tipos-de-circuitos-integrados-hay-2/
Figura N° 89: Tecnología BiCMOS
https://electropreguntas.com/que-tipos-de-circuitos-integrados-hay-2/
Figura N° 90: Problema planteado
https://electropreguntas.com/que-tipos-de-circuitos-integrados-hay-2/
Figura N° 91: Problema en proteus
https://electropreguntas.com/que-tipos-de-circuitos-integrados-hay-2/

209
Electrónica Analógica Y Digital

Figura N° 92: Puente wien


https://electropreguntas.com/que-tipos-de-circuitos-integrados-hay-2/
Figura N° 93: Muestra en osciloscopio
https://electropreguntas.com/que-tipos-de-circuitos-integrados-hay-2/
Figura N° 94: Ondas
https://electropreguntas.com/que-tipos-de-circuitos-integrados-hay-2/
Figura 95: ejemplo Octal
https://www.matesfacil.com/ESO/sistemas-numeracion/base-octal/sistema-
numeracion-octal-base-ocho-ejemplos-teoria-propiedades-cambio-base-decimal-
ejercicios-resueltos.html
Figura 96: ejemplo Octal
https://www.matesfacil.com/ESO/sistemas-numeracion/base-octal/sistema-
numeracion-octal-base-ocho-ejemplos-teoria-propiedades-cambio-base-decimal-
ejercicios-resueltos.html
Figura 97: ejemplo Octal
https://www.matesfacil.com/ESO/sistemas-numeracion/base-octal/sistema-
numeracion-octal-base-ocho-ejemplos-teoria-propiedades-cambio-base-decimal-
ejercicios-resueltos.html
Figura 98: Cambio de base 8 a base 10
https://www.matesfacil.com/ESO/sistemas-numeracion/base-octal/sistema-
numeracion-octal-base-ocho-ejemplos-teoria-propiedades-cambio-base-decimal-
ejercicios-resueltos.html
Figura 99: Decimal a binario
https://www.areatecnologia.com/sistema-binario.htm
Figura 100: Decimal a binario
https://www.areatecnologia.com/sistema-binario.htm
Figura 101: Decimal a binario
https://www.areatecnologia.com/sistema-binario.htm
Figura 102: Asignación de exponente
https://www.areatecnologia.com/sistema-binario.htm
Figura 103: Binario a Decimal
https://www.areatecnologia.com/sistema-binario.htm
Figura 104: Ejemplo
https://www.areatecnologia.com/sistema-binario.htm
Figura 105: Circuito digital
https://www.areatecnologia.com/sistema-binario.htm
Figura 106: Suma binaria
https://www.areatecnologia.com/sistema-binario.htm
Figura 107: Sistema Hexadecimal a decimal
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/

210
Electrónica Analógica Y Digital

Figura 108: Resultado


https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 109: Cambio binario a hexadecimal
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 110: Tabla NOT
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 111: Operación OR
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 112: Ley asociativa
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 113: Elemento neutro
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 114: Ley conmutativa
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 115: Ley Distributiva
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 116: Elemento complementario
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 117: Ley de idempotencia
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 118: Ley de absorción
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 119: Ley de identidad
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 120: OR Ley de idempotencia para la suma
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 121: OR Ley de absorción
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 122: OR Ley de identidad
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 124: Ley de Morgan
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 125: Tabla NOR
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 126: Tabla NAND
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura 127: Tabla XOR
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/

211
Electrónica Analógica Y Digital

Figura 128: Tabla XNOR


https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 129 Problema planteado
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 130: Función lógica
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 131: Tabla de la verdad
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 132: Codificación Gray
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 133: Formula CNF
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 134: Problema planteado
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 135: Tablas para simplificar
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 136: Valor de axbxc
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 137: Tablas para simplificar
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 138: Tablas axb +axb
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 139: Agrupación
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 140: Tablas verticales
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 141: Ecuación sin simplificar
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 142: Tablas sumatorias
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 143: Tablas en función
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 144: Lógica AND
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 145: Lógica OR
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/
Figura N° 146: Lógica NOT
https://unicrom.com/relacion-sistema-binario-y-hexadecimal/

212
Electrónica Analógica Y Digital

Figura N° 147: Lógica NAND


https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 148: Lógica NOR
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 149: Lógica NOR simplificada
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 150: Lógica XOR
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 151: Lógica XOR simplificada
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 152: Lógica XNOR
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 153: Lógica XNOR simplificada
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 154: Lógica XNOR simplificada 2
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 155: Flip Flops
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 156: Flip Flops RS
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 157: Flip Flops T
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 158: Comportamiento FF FF RS
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 159: Jump Keep
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 160: Comportamiento FF JK
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 161: Flip Flops D
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 162: Comportamiento FF D
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 163: Entrada de inicialización
https://virtual.cuautitlan.unam.mx/intar/sistdig/compuertas-logicas/
Figura N° 164: Tipos de registros
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 165: Registro de almacenamiento paralelo
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf

213
Electrónica Analógica Y Digital

Figura N° 166: Registro latch D


https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 167: Circuito registro latch D
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 168: Cronograma de registro síncrono
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 169: Registro nivel alto
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 170: Desplazamiento a la derecha
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 171: Desplazamiento con biestables D
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 172: Desplazamiento izquierda-derecha
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 173: Contador asincrónico
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 174: Contador sincrónico
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 175: Sincrónico ascendente
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 176: Sincrónico descendente
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 177: Sincrónico reversible
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 178: Sincrónico A-B
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 179: Circuito del contador 0-9
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 180: Divisor de frecuencia
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 181: Divisor De Frecuencia De 1/6
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 182: Asociación de contadores sincrónicos
https://www.cartagena99.com/recursos/alumnos/apuntes/Tema6_SED_1415.pdf
Figura N° 183: Multiplexor
https://www.ingmecafenix.com/electronica/componentes/multiplexor/
Figura N° 184: Funcionamiento de multiplexor
https://www.ingmecafenix.com/electronica/componentes/multiplexor/

214
Electrónica Analógica Y Digital

Figura N° 185: Partes del multiplexor


https://www.ingmecafenix.com/electronica/componentes/multiplexor/
Figura N° 186: Aplicaciones de multiplexor
https://www.ingmecafenix.com/electronica/componentes/multiplexor/
Figura N° 187: Demultiplexor
https://www.ingmecafenix.com/electronica/componentes/que-es-un-demultiplexor/
Figura N° 188: funcionamiento de demultiplexor
https://www.ingmecafenix.com/electronica/componentes/que-es-un-demultiplexor/
Figura N° 189: Parte de demultiplexor
https://www.ingmecafenix.com/electronica/componentes/que-es-un-demultiplexor/
Figura N° 190: Transmisión a las largas distancias
https://www.ingmecafenix.com/electronica/componentes/que-es-un-demultiplexor/
Figura N° 191: Señales a diferentes dispositivos
https://www.ingmecafenix.com/electronica/componentes/que-es-un-demultiplexor/
Figura N° 192: Comparador
https://personales.unican.es/manzanom/planantiguo/edigitali/Comp_G10_08.pdf
Figura N° 193: Comparador de un bit
https://personales.unican.es/manzanom/planantiguo/edigitali/Comp_G10_08.pdf
Figura N° 193: Comparador de dos bits
https://personales.unican.es/manzanom/planantiguo/edigitali/Comp_G10_08.pdf
Figura N° 194: Ejemplo 74LS85
https://personales.unican.es/manzanom/planantiguo/edigitali/Comp_G10_08.pdf
Figura N° 195: Diagrama lógico 74LS85
https://personales.unican.es/manzanom/planantiguo/edigitali/Comp_G10_08.pdf
Figura N° 196: Tabla lógica 74LS85
https://personales.unican.es/manzanom/planantiguo/edigitali/Comp_G10_08.pdf
Figura N° 197: Diseño de 8 bits
https://personales.unican.es/manzanom/planantiguo/edigitali/Comp_G10_08.pdf
Figura N° 198: Diagrama lógico de 24 bits
https://personales.unican.es/manzanom/planantiguo/edigitali/Sum_G11_08.pdf
Figura N° 199: Half-adder
https://personales.unican.es/manzanom/planantiguo/edigitali/Sum_G11_08.pdf
Figura N° 200: Full-Adder
https://personales.unican.es/manzanom/planantiguo/edigitali/Sum_G11_08.pdf
Figura N° 201: Método Ripple
https://personales.unican.es/manzanom/planantiguo/edigitali/Sum_G11_08.pdf
Figura N° 202: Carry look Ahead
https://personales.unican.es/manzanom/planantiguo/edigitali/Sum_G11_08.pdf

215
Electrónica Analógica Y Digital

Figura N° 203: Carry select


https://personales.unican.es/manzanom/planantiguo/edigitali/Sum_G11_08.pdf
Figura N° 204: Sumador restador
https://personales.unican.es/manzanom/planantiguo/edigitali/Sum_G11_08.pdf
Figura N° 205: Sumador 74LS83
https://personales.unican.es/manzanom/planantiguo/edigitali/Sum_G11_08.pdf
Figura N° 206: Esquema de la memoria
https://www.infor.uva.es/~cevp/FI_II/fichs_pdf_teo/FI_II_tema5_MemConcGen_nue.pdf
Figura N° 207: Memoria
https://www.infor.uva.es/~cevp/FI_II/fichs_pdf_teo/FI_II_tema5_MemConcGen_nue.pdf
Figura N° 208: Conversor A/D
https://es.wikipedia.org/wiki/Conversor_de_se%C3%B1al_digital_a_anal%C3%B3gica
Figura N° 209: Conversor D/A
https://es.wikipedia.org/wiki/Conversor_de_se%C3%B1al_digital_a_anal%C3%B3gica
Figura N° 210: Conversor DAC
https://es.wikipedia.org/wiki/Conversor_de_se%C3%B1al_digital_a_anal%C3%B3gica
Figura N° 211: Redes escalera
https://es.wikipedia.org/wiki/Conversor_de_se%C3%B1al_digital_a_anal%C3%B3gica
Figura N° 212: Redes R-2R
https://es.wikipedia.org/wiki/Conversor_de_se%C3%B1al_digital_a_anal%C3%B3gica
Figura N° 213: Circuito R-2R
https://es.wikipedia.org/wiki/Conversor_de_se%C3%B1al_digital_a_anal%C3%B3gica

216

También podría gustarte