Está en la página 1de 7

Instituto Tecnológico de Nuevo León

Práctica 2 VHDL. Contador de 0 a 9 con display.

Desarrollar un contador de 0 a 9 y aplicarlo a un display

Nombre: Julio Cesar Torres Vazquez, Abelardo Castillo Hernández, Cristian Martin De
León Morales
Mat: Diseño Digital con VHDL
Fecha 19 de octubre de 2021
Maestro: M.I. Armando Martínez Reyes

Teoría Practica # 2

• La misión más importante de un lenguaje de descripción HW es que sea capaz de


simular perfectamente el comportamiento lógico de un circuito sin que el
programador necesite imponer restricciones.

• La herramienta Xilinx-ISE (Integrated Software Environment) es una herramienta de


diseño de circuitos profesional que nos va a permitir, entre otras funciones, la
realización de esquemáticos y su posterior simulación, así como finalmente la
síntesis en un FPGA.

• Un decimal codificado en binario (BCD) es un contador digital en serie que cuenta


diez dígitos y se reinicia para cada nueva entrada de reloj. Como puede pasar por 10
combinaciones únicas de salida, también se le llama "contador de décadas". Un
contador BCD puede contar 0000, 0001, 0010, 1000, 1001, 1010, 1011, 1110, 1111,
0000, 0001 y así sucesivamente.

Ing. Armando Martínez Reyes. Page 1


• El display ánodo común es aquel cuyos ánodos están conectados al mismo punto.
Este tipo de display es controlado por ceros, es decir que el microcontrolador o
MCU, FPGA o microprocesador, le asigna a cada segmento un cero lógico (también
llamada tierra digital).

Objetivo.
• Crear el proyecto en ISE Design Suite

• El propósito de esta práctica es implementar un contador de 0 a 9 en VHDL


utilizando un display de 7 segmentos integrado en la tarjeta Amiba 2. El esquema o
diagrama del display de 7 segmentos en ánodo común es:

• Simulación de la aplicación

• Ejecución del programa en la tarjeta de desarrollo Amiba 2

Equipo y materiales necesarios:


• Equipo de cómputo con Windows versión 8 o 10

• Software ISE Design Suite 14.7

• Tarjeta de desarrollo Amiba 2


Ing. Armando Martínez Reyes. Page 2
Procedimiento:
Pasos a seguir y desarrollo de la práctica, elaborar Reporte, imágenes y link de video de
evidencia lo cual es requerido.

1. Se desarrollo el siguiente programa en ISE Design Suite 14.7:

Ing. Armando Martínez Reyes. Page 3


2. Se crea el archivo .ucf

Ing. Armando Martínez Reyes. Page 4


3. Por ultimo se programa la tarjeta Amiba 2 con el código.

4. Tarjeta Amiba 2 ya programada.

Reporte-Simulación

Conteo de 0 a 9 a través del vector display.

Ing. Armando Martínez Reyes. Page 5


Link de video de evidencia

https://youtu.be/-ViJbt6iMsA

Conclusiones y referencias

Si bien hoy este tipo de visualizadores parecen antiguos u obsoletos, ya que en la actualidad
es muy común el uso de pantallas gráficas basadas en píxeles, el visualizador de 7
segmentos sigue siendo una excelente opción en ciertas situaciones en las que se requiera
mayor poder lumínico y trabajo en áreas hostiles, donde las pantallas de píxeles podrían
verse afectadas por condiciones ambientales adversas.

El uso del FPGA fue de mucha utilidad ya que en un solo dispositivo se integro tanto el
contador de décadas, el tren de pulsos y el display.

Ing. Armando Martínez Reyes. Page 6


Referencias

Administrador . (2015). Contador de décadas (contador BCD) . octubre 13, 2021, de


Electronics hub Sitio web: https://www.electronicshub.org/decade-counterbcd-
counter/

Estrada, R. (2021). Display 7 Segmentos ánodo y cátodo común. octubre 13, 2021, de
HETPRO Sitio web: https://hetpro-store.com/TUTORIALES/display-7-segmentos-
anodo-catodo-comun/

Fernández, O. (2018). Arduino display 7 segmentos ánodo común. octubre 13, 2021, de
Código Electrónica Sitio web: http://codigoelectronica.com/blog/arduino-display-
7-segmentos-anodo-comun

intesc. (2015). SYMBHIA - CONTADOR DE 0 A 9 CON DISPLAY DE 7 SEG. EN VHDL. octubre


13, 2021, de intesc Sitio web: https://youtu.be/3nukXN0Te_k

Ing. Armando Martínez Reyes. Page 7

También podría gustarte