Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Problema 1 (1,5) Puntos: Electrónica Digital Gisa
Problema 1 (1,5) Puntos: Electrónica Digital Gisa
GISA
Examen Parcial 2. Curso 2018-2019
10 de Mayo de 2019
Se quiere diseñar una máquina de estados de Moore para detectar la secuencia que lanza un barco
en caso de hundimiento. “1-1-0-0-1-1”. En caso de detectar está secuencia se tiene que activar una
alarma A activada a nivel alto. En caso de no cumplir está secuencia se debe de pasar al estado
inicial.
http://dte.uc3m.es
El pasado 10 de abril se presentó la primera foto de un agujero negro. Está fotografía fue realizada
por el Telescopio de Horizontes de Sucesos, EHT por sus siglas en ingles. EL EHT son ocho telescopios
alrededor del mundo que se sincronizan para realizar sus mediciones y almacenar los datos
recibidos. Se muestra el diagrama de estados simplificado del Hardware que realiza está medición
1) A la vista del diagrama de estados, indicar si se trata de una máquina de estados finitas de
Moore o de Mealy (0,5 pts)
http://dte.uc3m.es
2) Completar el siguiente código de VHDL, sabiendo que el Reset es a nivel bajo y cuando no
se indica una entrada especifica tiene que mantener el estado o en una situación
incontrolada ir a reset (2,5 pts)
library ieee;
use ieee.std_logic_1164.all;
entity EHT is
port (
Clk: in std_logic;
Reset: in std_logic;
A: in std_logic_vector(1 downto 0);
B: in std_logic_vector(1 downto 0);
Y: out std_logic_vector(1 downto 0);
Z: out std_logic_vector(2 downto 0)
);
end EHT;
begin
process ( Clk,Reset );
begin
if Reset='0' then
state <= Tx_inicio
AB <= A & B;
Process ( state,AB );
begin
case state is
Y<= "00";
Z<= "000";
if AB="1000" then
next_state <= Tx_inicio;
else
next_state <= Es_Apuntar;
end if;
end case;
end process;
end sincronizacion;
http://dte.uc3m.es
Figura 1
Y = 2*x1 – x2
http://dte.uc3m.es
1. Cargar x1 en el registro R1
2. Cargar x2 en el registro R2
3. Shift left R1 (R1 = R1 * 2)
4. Restar R2 de R1 y guardar el resultado en R3
5. Enviar R3 a la salida
http://dte.uc3m.es
Nombre características
ROM1 8Kx16 bits de ROM
RAM_A_1 8Kx8 bits de RAM
RAM_A_2 8Kx8 bits de RAM
RAM_B_1 4Kx16 bits de RAM
RAM_B_2 4Kx16 bits de RAM
http://dte.uc3m.es
http://dte.uc3m.es