Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Introduccion VHDL
Introduccion VHDL
VHDL
LABORATORIO DE CIRCUITOS
DIGITALES II
CARACTERISTICAS
Very High Speed Integrated Circuit
Hardware Description Language (V HDL) HSIC
• Modular
• Naturaleza genérica
• Adaptable
• CONCURRENCIA O PARALELISMO
EVOLUCIÓN
OBJETOS DE DATOS
• SENALES: representan senales lógicas en el circuito
(cables).
Valores son: 0, 1, Z, -, L, H, U, X, W.
TIPOS DE DATOS
Biblioteca std_logic_signed permite utilizar
senales STD_LOGIC_VECTOR con
operadores aritméticos
TIPOS DE DATOS
• ARITMÉTICOS:
+, - (integer, signed, unsigned)
*, / (integer cuando es potencia de dos)
• CONEXION: &
(std_logic)
DESCRIPCIONES EN VHDL
entity entity-name is
port (signal-names: mode signal-type;
signal-names: mode signal-type;
…..
signal-names: mode signal-type);
end entity-name ;
ENTIDAD
entity c_and is
Port ( a, b : in std_logic;
c : out std_logic);
end c_and;
ARQUITECTURA
Describe el funcionamiento de una entidad
Begin
c<=a nand b;
end Behavioral;
signal x : std_logic;
begin
x<=a nand b;
c<=not x;
end estructural;
ARQUITECTURA
ESTRUCTURAL
architecture estructural of c_nand is
signal x : std_logic;
component c_and
Port (q1,q2 : in std_logic;
q3 : out std_logic);
end component;
component c_not is
Port ( p1 : in std_logic;
p2 : out std_logic);
end component;
begin
m1: c_and port map (q1=>a,q2=>b,q3=>x);
m2: c_not port map (p1=>x,p2=>c);
end estructural;