Está en la página 1de 33

1

Practica #

LÓGICA DE PROPOSICIONES,
SISTEMAS DE NUMERACIÓN Y
CÓDIGOS.

1. OBJETIVOS DE LA PRÁCTICA A REALIZAR

• Reconocer las ventajas y desventajas de los sistemas de numeración.

• Convertir un número de un sistema de numeración a su equivalente en otros sistemas.

• Representar números decimales usando los códigos BCD.

• Definir los conceptos básicos de los sistemas digitales.

• Realizar la tabla de verdad de las 16 funciones básicas de 2 variables.

• Hallar las expresiones canónicas algebraicas y numéricas de suma de productos y productos de


suma.

• Minimizar las expresiones, dibujar los circuitos originales y los minimizados y obtener su tabla de
verdad

1
2. EJERCICIOS DE LA PRÁCTICA
1. SISTEMAS Y CÓDIGOS DE NUMERACIÓN

a) Convertir al sistema binario y hexadecimal los números 999910,


245.94510, 207758, 444.558, 1203
b) Convertir al sistema octal y decimal los números 10011010112,
1111101.0112, CAFE16, C0C0.AA16
c) Obtener el equivalente en BCD exceso de tres del decimal 8753
d) Hasta que número se puede contar utilizando: 15bits, 30 bits, 41 bits,
53 bits
e) Cuantos bits se necesitan para contar hasta el: 2020, 11248, 850369.
f) Dada la siguiente igualdad: (175972)10=(850)J , determinar el valor
de la base J. ¿Cuál es el valor de (1340)10 en la base J?.
g) Escriba su nombre y apellido en un código de ocho bits con el código
ASCII de siete bits y un bit de paridad IMPAR en la posición más
significativa. Incluya espacios en blanco entre nombres y apellidos.
h) Convertir el número 0101 1010 1011 perteneciente al código BCD
exceso de tres a:
• El sistema binario natural
• El sistema Decimal
• El código BCD natural
• El código BCD Aiken

2. Usando los postulados del algebra de Boole y tablas de verdad, demuestre la


veracidad de las siguientes igualdades:

3. Demostrar por algebra de Boole (sin aplicar las reglas de D´Morgan) los 2
teoremas fundamentales de las funciones lógicas para el caso de 5 variables.

4. Defina los siguientes términos: a) Qué es una proposición, b) Qué es una


variable lógica, c) Qué es una tabla de verdad, d) Qué es una función lógica,
e) Qué es una variable lógica compuesta, f) Qué es un Maxtérmino, g) Qué es
un Mintérmino, h) Qué es una función de la primera forma canónica,

2
i) Qué es una función de la segunda forma canónica, j) Qué es el principio de
dualidad de los sistemas digitales, k) Qué es un diagrama de Karnaugh.

5. Realice la tabla de verdad de las 16 funciones básicas de 2 variables, indique


cada una de sus denominaciones, justifique utilizando procedimientos
algebraicos las siguientes funciones: NAND, Implicación A  B, y B  A,
Dilema.

6. Hallar las expresiones canónicas algebraicas y numéricas de suma de


productos y productos de suma de las funciones:

a)

b)

c)

d)

7. Sean las funciones binarias f de cuatro variables:

i) 𝐹𝐹𝐴𝐴𝐴𝐴𝐴𝐴𝐴𝐴𝐴𝐴 = ∑5(0,6,19,28,31)

ii) 𝐹𝐹𝑣𝑣𝑣𝑣𝑣𝑣𝑣𝑣𝑣𝑣 = ∏5(0̄ , 1̄ , 9̄ , 24


̄ , 31
̄ )

iii) 𝐹𝐹𝑎𝑎𝑎𝑎𝑎𝑎𝑎𝑎𝑎𝑎 = ∑5(0,5,29)

iv) 𝐹𝐹𝐴𝐴𝐴𝐴𝐴𝐴𝐴𝐴𝐴𝐴 = ∏5(0̄ , 1̄ , 2̄ , 3̄ , 4̄ , 16


̄ )

v) 𝐹𝐹𝑊𝑊𝑊𝑊𝑊𝑊𝑊𝑊 = ∑4(1)

a) Convierta estas funciones en la otra forma canónica.


b) Representar la tabla de verdad de estas funciones.

8. Canonizar las siguientes funciones y llevar a la forma canónica opuesta


mediante García.

a) 𝐹𝐹 = 𝐴𝐴𝐴𝐴 + 𝐴𝐴𝐴𝐴𝐶𝐶̄ + 𝐴𝐴𝐴𝐴𝐷𝐷 + 𝐴𝐴𝐴𝐴 + 𝐴𝐴𝐴𝐴𝐷𝐷 �


b) 𝐹𝐹 = 𝐵𝐵(𝐷𝐷 + 𝐶𝐶𝐶𝐶) + 𝐴𝐴𝐶𝐶 + 𝐴𝐴𝐴𝐴
c) 𝐹𝐹 = 𝐴𝐴̅𝐷𝐷 + 𝐶𝐶𝐷𝐷̄ + 𝐴𝐴𝐴𝐴𝐴𝐴𝐴𝐴 + 𝐴𝐴̄𝐵𝐵�𝐶𝐶̅ 𝐷𝐷
d) F = ( A + B + C + D)( A + B + C + D)( A + B + C + D)( A + B + C + D )( A + B + C + D)

3
9. Para las siguientes ecuaciones booleanas:

i) 𝑓𝑓 = 𝑋𝑋 ⊕ 𝑌𝑌 ⊕ 𝑍𝑍 + 𝑌𝑌� ⊕ 𝑍𝑍 + 𝑋𝑋 ⊕ 𝑍𝑍 ⊕ 𝑌𝑌

ii) 𝑓𝑓 = (𝑎𝑎 + 𝑑𝑑̅ + 𝑐𝑐) ⋅ 𝑏𝑏 + 𝑏𝑏𝑏𝑏 + 𝑎𝑎�𝑏𝑏𝑏𝑏𝑏𝑏

iii) 𝑓𝑓 = (𝑋𝑋 + 𝑍𝑍) ⋅ (𝑍𝑍 + 𝑋𝑋̄) ⋅ (𝑋𝑋̄ + 𝑌𝑌̄ + 𝑍𝑍̄)

iv) 𝑓𝑓 = 𝐴𝐴(𝐶𝐶̄ 𝐷𝐷 + 𝐵𝐵) + 𝐴𝐴 ⊕ 𝐶𝐶 + 𝐴𝐴𝐴𝐴𝐴𝐴

v) ̄ + 𝐵𝐵 ⊗ 𝐶𝐶 + 𝐴𝐴 ⊗ 𝐵𝐵
𝑓𝑓 = (𝐵𝐵𝐶𝐶̄ 𝐷𝐷 ⊗ 𝐵𝐵𝐶𝐶̄ 𝐷𝐷)

a) Obtener la tabla de verdad y dibujar el circuito lógico correspondiente.

b) Mediante las técnicas del algebra de Boole simplificar a un número


mínimo de literales. Luego verifique sus respuestas obteniendo la tabla
de verdad y el circuito lógico de la función simplificada.

10. En base a la tecnología TTL, dibuje el circuito con transistores de un


operador NOR de cuatro entradas y una salida, explique claramente el
funcionamiento de cada transistor en el operador y en base a dicho
funcionamiento establezca la tabla de verdad.

- NOTA .-

Se tomará en cuenta, en la calificación los siguientes puntos:

 El procedimiento de los ejercicios.


 La puntualidad en la entrega de las prácticas.
 La “similitud” entre las prácticas de los estudiantes (si se encontrara
copia, se anulará el ejercicio y/o la práctica en su totalidad).

4
2
Practica #

SIMPLIFICACIÓN DE FUNCIONES
LÓGICAS.
1. OBJETIVOS DE LA PRÁCTICA A REALIZAR

• Comprender en que principios se basa los siguientes métodos de simplificación de funciones

Método de Algebra de Boole


Método de mapas de karnaugh
Método de las variables Biformes
Método de Quine Mc-Cluskey
Método de NOR-Exclusivo

• Aplicar los diferentes métodos de simplificación de funciones lógicas.

• Representar y simplificar funciones utilizando mapas de Karnaugh

• Simplificar por el método de Multifunciones de Quine-Mckluskey, OR-EX y Variables Biformes

• Implementar los circuitos simplificados empleando puertas NAND y NOR

• Analizar las ventajas y desventajas de utilizar el método de MSI.

1
2. EJERCICIOS DE LA PRÁCTICA

1. En que principios se basa los siguientes métodos de síntesis de circuitos


digitales (si existe algún teorema que lo sustente, enuncie y demuestre):

a) Método de Algebra de Boole.


b) Método de mapas de Karnaugh (Segunda forma canónica).
c) Método de las variables Biformes (Segunda forma canónica).
d) Método de Quine Mc-Cluskey (Segunda forma canónica).
e) Método de OREX/NOREX (Segunda forma canónica).
f) Método MSI.

2. Representar y simplificar las siguientes funciones utilizando mapas de


Karnaugh.

𝑎𝑎) 𝑓𝑓(𝑎𝑎, 𝑏𝑏, 𝑐𝑐, 𝑑𝑑 ) = �(0,5,10) + Փ(2,4,13)


𝑏𝑏) 𝑓𝑓(𝑤𝑤, 𝑥𝑥, 𝑦𝑦, 𝑧𝑧) = (𝑥𝑥𝑥𝑥𝑧𝑧̅ + 𝑤𝑤𝑤𝑤 + 𝑤𝑤𝑤𝑤)(𝑥𝑥 + 𝑦𝑦 + 𝑧𝑧)(𝑤𝑤 + 𝑥𝑥)(𝑤𝑤 + 𝑥𝑥 + 𝑦𝑦 + 𝑧𝑧)
𝑐𝑐) 𝑓𝑓(𝑎𝑎, 𝑏𝑏, 𝑐𝑐, 𝑑𝑑, 𝑒𝑒) = �(0,2,4,6,8,10,23,25,27,29,31) + Փ(1,3,5,26,28,30)

𝑑𝑑) 𝑓𝑓(𝑎𝑎, 𝑏𝑏, 𝑐𝑐, 𝑑𝑑) = �(0,7,10,11,12) ∗ Փ(1,13)


𝑒𝑒) 𝑓𝑓(𝑉𝑉, 𝑊𝑊, 𝑋𝑋, 𝑌𝑌, 𝑍𝑍) = 𝑌𝑌� 𝑋𝑋 + 𝑊𝑊𝑊𝑊𝑊𝑊𝑊𝑊 + ����
𝑉𝑉𝑉𝑉 𝑌𝑌𝑍𝑍̅ + 𝑊𝑊𝑊𝑊𝑌𝑌� 𝑍𝑍𝑍𝑍 + 𝑊𝑊
� 𝑋𝑋� 𝑌𝑌�𝑍𝑍̅𝑉𝑉� + �������
𝑊𝑊𝑊𝑊𝑊𝑊

3. Analice el circuito de la figura indicando verbalmente qué operación


realiza.

4. Esta noche Roberto irá a una fiesta, pero no solo. Tiene cuatro nombres
en su agenda: Lucila, Ana, Mayra y Carola. Puede invitar a más de una de
ellas, pero no a todas al mismo tiempo. Para no romper corazones, ha
establecido las siguientes normas:
• Si invita a Ana, debe invitar también a Mayra.
• Si invita a Lucila y Mayra, deberá también invitar a Ana o a Carola.

2
• Si invita a Mayra o a Carola, o no invita a Lucila, deberá invitar también
a Ana
Antes de llamarlas por teléfono, Roberto quiere utilizar un circuito lógico que
le indique cuando una elección no es correcta. Ayude a diseñar el circuito lógico
en dos niveles con operadores NOR utilizando el método de:
a) Método OREX (segunda forma canónica).
b) Método de variables Biformes (segunda forma canónica).

Realizar el código Verilog que cumpla con el propósito del problema planteado.

5. Las cuatro líneas de entrada de un circuito combinacional corresponden a


un número natural codificado en código Aiken. Diseñe un circuito que sirva
para detectar cuando un número es una potencia de tres. Sintetizar por el
método de variables biformes.

Realizar el código en WinCUPL que cumpla con el propósito del problema


planteado.

6. Simplificar las siguientes funciones por el método de multifunciones de


Quine Mc-Cluskey:

𝑓𝑓 = �(0,1,6,10,15)
4

𝑔𝑔 = �(0,1,2,3,4,5,8,14)
4

ℎ = �(9,10,11,12,13,15)
4

7. Resolver las siguientes funciones por el método OR-EX:

𝑓𝑓 = �(0,1,3,5,9,15)
4

𝑔𝑔 = �(0,3,4,5,6,13,14)
4

ℎ = �(1,7,8,9,10,11)
4

3
8. El horario laboral de una factoría es de 8 horas diarias, divididas en tres
turnos: de 8 a 11 (primer turno), de 11 a 13 (segundo turno), de 13 a 16
(descanso) y de 16 a 19 (tercer turno).
Se pretende diseñar un circuito que tenga como entradas la representación
binaria de la hora actual menos ocho y que proporcione a la salida el
número de turno que está trabajando (si procede) o "0" si es hora de
descanso. Se pide:
a) Expresar las funciones mínimas de salida como suma de productos y
como producto de sumas.
b) Sintetizar las funciones por el método de variables biformes.

9. Se tiene un circuito digital con 5 entradas que corresponden al código dos


en cinco y 2 salidas las cuales indican la cantidad de 1s lógicos, en binario
natural, presentes en la entrada. Si en la entrada aparece una combinación
que no corresponde al código, las salidas deben permanecer en cero
lógico. Sintetizar por el método de Quine Mc-Cluskey, realizar el código
VERILOG del problema planteado.

10. En base a un código BCD, que cumpla con el principio de ser un código
continuo y cíclico, cuya primera combinación sea 0010, diseñe un circuito
que permita transmitir y recibir información en dicho código, con la
capacidad de detectar y corregir un error. Escribir el VHDL del problema
planteado.

- NOTA .-

Se tomará en cuenta, en la calificación los siguientes puntos:

 El procedimiento de los ejercicios.


 La puntualidad en la entrega de las prácticas.
 La “similitud” entre las prácticas de los estudiantes (si se encontrara
copia, se anulará el ejercicio y/o la práctica en su totalidad).

4
3
Practica #

MÓDULOS FUNCIONALES DE
LÓGICA COMBINACIONAL.

1. OBJETIVOS DE LA PRÁCTICA A REALIZAR

• Analizar y utilizar multiplexores y demultiplexores en varios tipos de aplicación.

• Analizar los circuitos con multiplexores e implementarlos con compuertas NAND.

• Comprender el funcionamiento de los decodificadores y codificadores.

• Realizar el diseño de circuitos comparadores.

• Diseñar circuitos de transmisión y recepción que permitan detectar y corregir errores.

• Realizar los programas de los módulos funcionales de lógica combinacional en un lenguaje de


descripción de Hardware.
2. EJERCICIOS DE LA PRÁCTICA

1. Diseñar un codificador (ENCODER) con prioridad de decimal a BCD EX3 el


diseño debe ser con compuertas lógicas y no con C.I.

a) Realice el programa correspondiente en lenguaje Verilog.


b) Realice el programa correspondiente en lenguaje VHDL.

2. Dibujar un multiplexador de 32 a 1 teniendo solamente multiplexores de 2


a 1 detalle el funcionamiento. Basándose en el esquema obtenido realizar
el código Verilog correspondiente.

3. Realizar un transcodificador del código BCD EX3 al código 2 en 5 y otro


transcodificador que realice el proceso inverso.

4. En base a un demultiplexor de 1 a 16 (dibujar la configuración interna),


diseñar un decodificador de 4 a 16 (en lógica positiva). Basándose en el
esquema obtenido realizar el código Verilog correspondiente.

5. Un circuito que realiza la función z(a, b, c) está compuesto de dos


subcircuitos (ver figura). La combinación de entradas abc=001 nunca
ocurre. La tabla de verdad del subcircuito N, es la mostrada. ¿Es posible
cambiar algunos valores de u, v, x a inespecificaciones(fi-booleanos) sin
modificar z(a, b, c)?.
Si es así, indique todos ellos y realice un buen diseño de N1 con puertas
NOR tras obtener todos los valores inespecificados.

6. Un sistema sencillo para hacer votación secreta es utilizar un circuito


combinacional cuyas entradas estén controladas por interruptores que
puedan accionar los miembros del jurado. Cada miembro votará con un SÍ
o un NO (no hay abstenciones).
El sistema que queremos realizar es el siguiente. Hay dos tribunales: A y
B. El tribunal A tiene 4 miembros (a,b,c, y d) y el tribunal B tres (e,f, y g).
El veredicto deberá ser:

- El del tribunal A en el caso de que no se produzca empate.


- Si se produce empate en el tribunal A, el veredicto será el del tribunal B.

Diseñe el circuito según el diagrama de bloques (ver figura). Realizar la síntesis


con solamente multiplexores.

7. A partir del código BIQUINARIO, diseñar un circuito de transmisión y


recepción que permita detectar y corregir errores, dibuje el circuito
resultante y explique su funcionamiento.

Realice el código correspondiente del sistema en lenguaje CUPL.

8. Una caja de seguridad dispone de 5 cerrojos (V,W,X,Y,Z) los cuales deben


ser desbloqueados para abrir la caja. Las llaves de la caja están distribuidas
entre 5 ejecutivos de la siguiente manera: A tiene llaves para los cerrojos
V, X; B para V, Y; C para W, Y; D para X, Z; E para V, Z.

a) Determine todas las combinaciones mínimas de ejecutivos requeridos


para abrir la caja.

b) Determine el ejecutivo "esencial".

Realizar la síntesis, mediante el método MSI.


9. Utilizando decodificadores 74138 y el menor número de compuertas
posibles, diseñar:

a) un decodificador 4 a 16 .
b) un decodificador 5 a 32? .

Realizar el código Verilog para el problema planteado.

10. La figura muestra un comparador de dos números de 1 bit y su tabla de


verdad. Se desea obtener un comparador de números de 6 bits, utilizando
exclusivamente comparadores de 1 bit. El diseño debe contemplar que el
tiempo de retraso no supere 4T, donde T es el retraso asociado al
comparador de 1 bit.

- NOTA .-

Se tomará en cuenta, en la calificación los siguientes puntos:

 El procedimiento de los ejercicios.


 La puntualidad en la entrega de las prácticas.
 La “similitud” entre las prácticas de los estudiantes (si se encontrara
copia, se anulará el ejercicio y/o la práctica en su totalidad).
4
Practica #

CIRCUITOS ARITMÉTICOS.

1. OBJETIVOS DE LA PRÁCTICA A REALIZAR

• Sintetizar funciones lógicas utilizando sumadores.

• Realizar operaciones aritméticas de números binarios con signo.

• Poder comprender las operaciones aritméticas que se pueden realizan con los sumadores.

• Diseñar sumadores que realicen la operación de suma y resta con un código BCD ya definido.

• Diseñar un pequeño ALU con funciones ya definidas y conocer a la unidad aritmética lógica de 4
bits de selección 74LS181

• Escribir los programas para los circuitos aritméticos en un lenguaje de descripción de Hardware.
2. EJERCICIOS DE LA PRÁCTICA

1. Sintetizar sólo con sumadores las siguientes funciones:

a) 𝐹𝐹𝐴𝐴𝐴𝐴𝐴𝐴𝐴𝐴𝐴𝐴 = ∑5(0,5,6,8,9,13,14,15,20,25,30)
b) 𝐹𝐹𝑊𝑊𝑊𝑊𝑊𝑊𝑊𝑊 = ∏4(2� , 5� , 6� , 7� , 11
����, 12
����)
c) 𝐺𝐺𝑎𝑎𝑎𝑎𝑎𝑎𝑎𝑎 = ∑4(0,3,5,6,9,10,12,15)

2. Realizar las siguientes operaciones, A+B, A-B, -A+B, -A-B, para los
números codificados en binario natural, mediante el complemento a 1 y el
complemento a 2:
a) A=47, B=62
b) A=111, B=-125

3. Realizar las siguientes operaciones, A+B, A-B, -A+B, -A-B, para los
números codificados en BCD 8421, mediante el complemento a 9 y el
complemento a 10:
a) A=68, B=27
b) A=-162, B=184

4. Realice un análisis completo (teórico y práctico) de la generación anticipada


del acarreo para un sumador de 4 bits.
Dibuje el circuito final del sumador completo de 4 bits con acarreo
anticipado con compuertas lógicas únicamente.
A partir del diseño planteado, escriba el código VHDL correspondiente.

5. Diseñe un sumador de dos dígitos con signo utilizando complemento a la


base para 2 números BCD Aiken (no utilizar transcodificadores), tanto la
entrada como la salida del sistema digital deberán estar representados en
el código BCD mencionado. Dibuje el circuito resultante y realice una prueba
de funcionamiento.
Realice el código VHDL del problema planteado.

6. Se dispone de tres números (no signados) codificados en el código 2 en 5,


diseñar el circuito combinacional que realice la suma de los dos mayores,
dibuje el circuito resultante y explique cómo funciona.

7. Se dispone de circuitos sumadores totales en binario natural, diseñe el


circuito que permita realizar las siguientes operaciones en el código BCD
Exceso de tres (no se debe utilizar transcodificadores):

(-49)+(59)
(-69)-(+99)
Para la representación de los números utilice el complemento a la base
reducida.
Muestre el circuito resultante y demuestre su funcionamiento.
A partir del diseño planteado, escriba el código Verilog correspondiente.

8. Diseñar un circuito divisor, para números en BCD EX3, cuyo dividendo sea
de dos dígitos y el divisor de un digito. Utilice las compuertas y módulos
necesarios para la implementación del sistema digital.

9. Diseñe un multiplicador binario que multiplique un número de 6 bits por uno


de 3 bits. Utilice las compuertas y módulos necesarios para la
implementación del sistema digital.

10. Diseñe una ALU (UNIDAD ARITMETICA LOGICA) que realice las
siguientes funciones:

F1= A-B+3
F2= Complemento 10 (A)
F3= A transcodificado al código AIKEN
F4= B-A

Realice el programa Verilog correspondiente al problema planteado.

- NOTA .-

Se tomará en cuenta, en la calificación los siguientes puntos:

 El procedimiento de los ejercicios.


 La puntualidad en la entrega de las prácticas.
 La “similitud” entre las prácticas de los estudiantes (si se encontrara
copia, se anulará el ejercicio y/o la práctica en su totalidad).
5
Practica #

LÓGICA SECUENCIAL

1. OBJETIVOS DE LA PRÁCTICA A REALIZAR

• Definir conceptos básicos de sistemas secuenciales.

• Demostrar que el flip-flop SR con compuertas NAND y NOR son circuitos secuenciales.

• Encontrar el flip-flop D y T, a partir del FF-JK.

• Definir Retardo de propagación, Tiempo de establecimiento, Tiempo de mantenimiento.

• Obtener la tabla de verdad de un sistema secuencial.

• Obtener los diagramas de tiempos de un sistema secuencial.


2. EJERCICIOS DE LA PRÁCTICA

1. Definir los siguientes conceptos:

i. Circuito Secuencial.
ii. Autómata de Mealy.
iii. Autómata de Moore.
iv. Circuito Secuencial Síncrono.
v. Circuito Secuencial Asíncrono.
vi. Sistema Secuencial de realimentación Directa.
vii. Sistema Secuencial de realimentación con células secuenciales básicas
viii. Estado Estable.
ix. Estado Inestable.
x. Autómata.
xi. Ciclo crítico en un sistema secuencial.

2. a) Demostrar que el flip-flop SR con compuertas NOR es un circuito


secuencial, determine a partir de la demostración en forma razonada la
tabla de verdad.
b) A partir del Flip Flop básico SR con operadores NAND, demuestre que
dicho circuito es secuencial, determine a partir de la demostración en forma
razonada la TV.

3. Obtener los flip flops JK y T a partir de un flip flop tipo D. Realizar el código
Verilog correspondiente del problema planteado.

4. Dibuje un circuito del Flip Flop JK síncrono de flanco descendente (a partir


del circuito JK de nivel), explique claramente como funciona, y determine
su tabla de verdad.

5. En base a un diagrama de tiempos defina y explique:

i. Retardo de propagación en los ajustes iniciales de un FF y en la salida


del mismo.
ii. Tiempo de establecimiento
iii. Tiempo de mantenimiento

6. a) Determine la ecuación fundamental de todos los flip flops a partir de su


TV.
b) Determine la tabla de excitación previa de todos los flip flops.
7. Para el circuito de la figura, dibuje la forma de onda de la salida para las
secuencias que se muestran. Supongamos que el sistema parte del estado
(q1,g2,q3) = (0,0,0).

8. Dibujar el circuito de un flip flp tipo T (maestro esclavo), con operadores


NOR, explicar su funcionamiento apelando a una secuencia correcta de 1s
y 0s, verifique la tabla de verdad del flip flop. Realice el código VHDL del
problema planteado.

9.
a. Encuentre la forma de onda de salida de un flip flop SR Master-Slave
para la siguiente secuencia de entrada:

b. ¿Cómo sería la onda de salida si se tratara de un RS disparado por


flanco descendente (negativo)?

c. Ídem para flanco positivo.


10. El circuito de la figura siguiente es un flip flop asíncrono, utilizando la
matriz de estados, demuestre que dicho circuito es secuencial, determine
a partir de la demostración en forma razonada la TV.

A 1
3 Q
2

4
6 !Q
B 5

- NOTA .-

Se tomará en cuenta, en la calificación los siguientes puntos:

 El procedimiento de los ejercicios.


 La puntualidad en la entrega de las prácticas.
 La “similitud” entre las prácticas de los estudiantes (si se encontrara
copia, se anulará el ejercicio y/o la práctica en su totalidad).
6
Practica #

APLICACIONES DE SISTEMAS
SECUENCIALES.

1. OBJETIVOS DE LA PRÁCTICA A REALIZAR

• Estudiar el método de simplificación de los sistemas secuenciales.

• Diseñar sistemas secuenciales asíncronos y ver sus aplicaciones.

• Diseñar sistemas secuenciales síncronos y ver sus aplicaciones.

• Observar diferentes aplicaciones de los sistemas secuenciales.

• Realizar los programas para las aplicaciones de sistemas secuenciales en un lenguaje de descripción
de Hardware.
2. EJERCICIOS DE LA PRÁCTICA

1. Se dispone de una señal digital periódica c de periodo t y ancho de impulso


t/2. A partir de dicha señal y en sincronismo con sus flancos de subida se
necesita generar otra señal periódica s cuyo periodo y ancho de impulso
deben ser seleccionables mediante dos señales de control c0 y c1, como
se muestra en la siguiente tabla.

2. Diseñar un circuito secuencial síncrono con una entrada X y una salida Z,


tal que por la entrada X llega en serie caracteres codificados en binario
natural de 4 bits el primer bit en llegar es el menos significativo. La salida
Z debe permanecer en 0 lógico, mientras no hayan llegado los 4 bits en el
código EX3. La salida debe tomar el valor de 1 lógico si los 4 bits recibidos
no corresponden al código mencionado.

3. Diseñar un sistema secuencial asíncrono, que posee 2 entradas x1 y x2 y


una salida F tal que:
a) El estado inicial del sistema es x1=0, x2=0 y F=0.
b) La salida F tomara el estado 1 si se aplican 2 impulsos sucesivos a la
entrada x1 sin que se aplique ninguno a x2.
c) La salida F volverá a 0 lógico cuando a x2 se aplique el estado 1 lógico.
d) Para otros casos de x1 y x2 la salida se mantiene en el último estado
ajustado.
e) X1 y x2 no deben estar en 1 lógico simultáneamente.
f) Ambas entradas x1 y x2 no deben conmutar simultáneamente.
g) Sintetice como sistema secuencial de reacción directa.
h) Sintetice utilizando elementos secuenciales SR con operadores NOR.
Dibuje el circuito resultante, realice los pasos necesarios que permitan la
realización en un código Verilog.

4. Un circuito secuencial tiene dos entradas (X1,X2) y dos salidas (Z1,Z2).


Las entradas representan un número binario natural de dos bits, N. Si el
valor presente de N es mayor que el valor inmediatamente anterior,
entonces, Z1=1. Si dicho valor es menor, entonces la salida Z2 = 1. En
cualquier otro caso, Z1=Z2=0. Escribir la tabla de estados correspondiente
del circuito, como autómata de Mealy. Sintetizar mediante flip flops SR

5. Diseñar un sistema secuencial, cuya salida Z este en 1 lógico cuando se


detecte en su entrada la siguiente secuencia x:0-1-0 y 1-1-1-0, realizar el
sistema como autómata de Mealy. Dibuje el circuito resultante, realice los
pasos necesarios que permitan la realización en un código VHDL.

6. Por una línea X se recibe, bit a bit, un número binario N, empezando por
el menos significativo.

a) Obtenga la tabla de estados mínima correspondiente al circuito que


permite generar una única salida Z con el valor Z = 2 x N.

b) Repita el apartado a) para obtener Z = 3 x N.

Comience por un estado de reset. No tenga en cuenta cuándo acaba N.


Sintetice con flip flops JK.

7. Un sistema recibe secuencialmente datos de 1 bit a través de su entrada


X. Diseñe un circuito que dé salida Z=1 cuando se haya recibido X=1
durante tres o más intervalos de reloj consecutivos. Dé dos diseños
alternativos: a) como autómata de Moore; b) como autómata de Mealy.
Discuta ventajas e inconvenientes de ambos diseños. Sintetizar con flip
flops tipo T.

8. Una vía férrea con tráfico en ambos sentidos corta una carretera en la que
se coloca una barrera gobernada por un sistema secuencial asíncrono. A
50 metros del punto de cruce de la carretera a ambos lados se colocan dos
sensores X1 y X2 respectivamente. A partir de un estado inicial Z=0
(barrera abierta), esta debe pasar al estado 1 (barrera cerrada) cuando se
acerca un tren en cualquier sentido al rebasar su máquina los puntos
detectores y debe volver al estado 0 cuando el último vagón deja de
presionar los puntos detectores. Sintetice el circuito con FF JK y demuestre
su funcionamiento con un diagrama de tiempos adecuado. Realice los
pasos necesarios que permitan la realización en un código Verilog.

9. Diseñe un chequeador de paridad para caracteres de 4 bits enviados en


serie. El circuito recibirá, partiendo de un estado inicial, 4 bits en serie por
una línea de entrada, X; coincidiendo con el cuarto bit, la salida del circuito
será 1, si y sólo si el número total de unos recibidos ha sido par. Tras la
recepción del cuarto bit, el circuito volverá a aceptar en la entrada un
nuevo carácter de 4 bits. Sintetice con flip flops tipo D.
10. Diseñe un circuito secuencial síncrono como autómata de Moore que
controle una máquina expendedora de refrescos que disponga de una
entrada de monedas y una salida de refrescos tal que:
* La máquina acepta monedas de 20 y 50 centavos.
* Se requieren 100 centavos para que un refresco sea
expulsado de la máquina.
* Si se depositan más de 100 centavos, la máquina no devolverá
cambio, pero otorgará un crédito de acuerdo al valor excedente
y esperará que el comprador haga una segunda compra.

Para el diseño utilice flip flop JK maestro-esclavo, represente el circuito


resultante y verifique su funcionamiento.
Realice los pasos necesarios que permitan la realización en un código
Verilog.

- NOTA .-

Se tomará en cuenta, en la calificación los siguientes puntos:

 El procedimiento de los ejercicios.


 La puntualidad en la entrega de las prácticas.
 La “similitud” entre las prácticas de los estudiantes (si se encontrara
copia, se anulará el ejercicio y/o la práctica en su totalidad).
7
Practica #

CONTADORES.

1. OBJETIVOS DE LA PRÁCTICA A REALIZAR

• Conocer las diferencias entre los contadores Asíncronos y Síncronos.

• Analizar el diseño de los circuitos contadores.

• Utilizar un contador ascendente y descendente para generar secuencias binarias repetidas.

• Determinar el módulo de un contador.

• Diseñar secuencias de contadores ya determinadas.

• Realizar los programas para las aplicaciones de contadores en un lenguaje de descripción de


Hardware.
2. EJERCICIOS DE LA PRÁCTICA

1. Realizar un contador que genere la secuencia …0,1,5,6,7,9,11,14,0,1,5...,


con FF-JK. Realice el programa correspondiente para implementarlo en
una GAL.

2. Realizar un sistema de conmutación secuencial síncrono que realice la


siguiente secuencia …. 5,3,2,7,0,5,3,….para una señal de control A. para
dicha señal (A) complementaria, el sistema deberá ser capaz de producir
un pulso por cada 5 ciclos de reloj, para la síntesis utilice flip-flop T,
representar el circuito resultante.

3. Diseñar un contador de 5 bits que tenga las siguientes características:

a) Ser síncrono y disparado por flanco de bajada.


b) Ser puesto a 0 de manera síncrona.
c) Inhibirse de la cuenta, manteniendo el estado almacenado.
d) Contar en forma ascendente (solamente números impares).
e) Contar en forma descendente (solamente números impares).
f) Cargar datos en paralelo

Realice el código Verilog del problema planteado.

4. Diseñar un contador asíncrono ascendente – descendente para el código


BCD 631-1, para su implementación utilice Flip-Flop SR maestro esclavo
(pulso positivo), la cuenta debe ser visualizada en un display de siete
segmentos. Dibuje el circuito resultante.

5. Diseñar un contador ascendente – descendente asíncrono MOD 17.


Realice el programa correspondiente para implementarlo en WinCUPL.

6. En base al CI 74163, diseñar un generador de código BCD que responda a


la filosofía del código Gray, represente el circuito y verifique el
funcionamiento del circuito. Realice el programa correspondiente en
lenguaje Verilog.

7. Diseñar un contador módulo 14 en código Jhonson con una entrada de


control tal que:
a) En una posición de la señal de control cuente en forma descendente
los números pares.
b) En una posición de la señal de control cuente en forma ascendente los
números pares.
c) El contador debe ser iniciado en cualquier valor del módulo a contar.
d) Para su implementación utilice flip flops JK, la cuenta debe ser
visualizada en un display de 7 segmentos.
e) Dibuje el circuito resultante y explique cómo funciona.

8. Diseñe un contador módulo-60 (0-59) utilizando dos contadores, uno de


los cuales es módulo 10. Realice el segundo contador con biestables JK y
puertas lógicas.

9. Se dispone de un contador mod-16 con las siguientes señales de control:


CUENTA, CARGA y CLEAR.

a) Si CUENTA = 1 y CARGA = 0, el contador cuenta hacia arriba.


b) Si CARGA = 1, el contador se carga con datos en paralelo.
c) Tiene también salida de CARRY.

Construya, utilizando como dispositivo básico dicho contador:

1.- Un contador mód. 6 que cuente de 0 a 5.


2.- Un contador mód. 6 que cuente de 10 a 15.
3.- Un contador mód. 6 que cuente de 4 a 9.
4.- Un contador que cuente de 0 a 34.

10. Se dispone de una señal binaria con periodo de 1 minuto, contadores de


modulo 10, disparados por flanco positivo, con entrada de clear síncrona
activa en baja y salida de acarreo (máxima cuenta), visualizadores de 7
segmentos con entradas BCD y puertas lógicas.

Diseñe un reloj digital que muestre las horas y minutos.


Realice el análisis correspondiente, así como el esquema final del sistema.
Realice el código Verilog, correspondiente al problema planteado.

- NOTA .-

Se tomará en cuenta, en la calificación los siguientes puntos:

 El procedimiento de los ejercicios.


 La puntualidad en la entrega de las prácticas.
 La “similitud” entre las prácticas de los estudiantes (si se encontrara
copia, se anulará el ejercicio y/o la práctica en su totalidad).
8
Practica #

REGISTROS Y MEMORIAS.

1. OBJETIVOS DE LA PRÁCTICA A REALIZAR

• Explicar cómo funciona los registros de desplazamiento con: entrada y salida serie, entrada serie y
salida paralelo, entrada paralelo y salida serie, entrada y salida paralelo.

• Describir el circuito de un registro de desplazamiento universal de 4 bits.

• Describir el circuito de un registro de desplazamiento bidireccional con entrada y salida serial.

• Definir las características básicas de las memorias.

• Explicar la estructura básica de una memoria.

• Aplicar las memorias en un sistema digital.

• Realizar los programas para las aplicaciones de registros y memorias en un lenguaje de descripción
de Hardware.
2. EJERCICIOS DE LA PRÁCTICA

1. Dibujar el circuito de un registro de desplazamiento unidireccional de 5


bits, con entrada serial y salida paralela y detallar su funcionamiento.
Escribir el código CUPL correspondiente al problema planteado.

2. Dibujar el circuito de un registro de desplazamiento bidireccional de 3 bits


con entrada y salida serial, y detallar su funcionamiento.
Escribir el código CUPL correspondiente al problema planteado.

3. Diseñe un registro universal de 4 bits. En particular, debe cumplirlas


siguientes especificaciones:

a) Ser síncrono y disparado por flanco positivo de reloj.


b) Tener entrada de puesta a cero asíncrona.
c) Tenerlas cuatro formas de operación siguientes:
- Inhibición
- Desplazamiento a la izquierda.
- Desplazamiento a la derecha.
- Carga de datos en paralelo.

4. a) Dibujar y explicar en forma concreta el funcionamiento de una celda de


memoria.
b) Dibujar y explicar en forma concreta el funcionamiento de una celda de
memoria estática.
c) Dibujar y explicar en forma concreta el funcionamiento de una celda de
memoria dinámica.

5. Detallar los siguientes conceptos:


• Memoria RAM
• Memoria ROM
• Memoria UVPROM
• Memoria FLASH

6. El circuito integrado 74LS193 es un contador síncrono de 4 bits con carga


en paralelo, señal de puesta a 0 (clear), también síncrona, e inhibición.
Utilice un 74LS193 y las puertas necesarias para realizar el diagrama de
estados de la figura.
7. a) Dibuje la arquitectura de una memoria de RAM de 64 posiciones por 8
bits con sus respectivos elementos de control, explique detalladamente
como funciona.
b) Explique detalladamente en base a dicha arquitectura los procesos de
escritura y lectura de una información binaria.

8. Diseñe un circuito que genere la secuencia …1,1,0,0,1,0,1,0,1,1,0,0…,


escribir el código Verilog correspondiente al problema planteado.

9. Utilizando como base un registro de desplazamiento, diseñe un autómata


de Mealy que funcione como detector de las secuencias: 1111, 0110 y
0001.

10. Diseñar un registro de desplazamiento de tres bits con SR maestro


esclavo (pulso negativo) con tres señales de control C1, C2, y C3 que
trabaje de la siguiente forma:

• Si C1=C2=C3=0, no deberá haber desplazamiento, el contenido


del registro deberá permanecer constante.
• Si C1=1 y C2=C3=0, el contenido del registro deberá
desplazarse un lugar a la derecha en sincronismo con la señal
de reloj.
• Si C1=0 y C2=1 y C3=0, el contenido del registro deberá
desplazarse un lugar a la izquierda en sincronismo con la señal
de reloj.
• Si C1=0 y C2=0 y C3=1, el contenido del registro deberá
ponerse a 0 todos los biestables del registro.
• No se debe dar que más que una variable de control tome el
valor de 1 lógico.

Dibuje el circuito resultante y verifique su funcionamiento

- NOTA .-

Se tomará en cuenta, en la calificación los siguientes puntos:

 El procedimiento de los ejercicios.


 La puntualidad en la entrega de las prácticas.
 La “similitud” entre las prácticas de los estudiantes (si se encontrara
copia, se anulará el ejercicio y/o la práctica en su totalidad).
9
Practica #

PLD’s y CONVERSORES D/A – A/D

1. OBJETIVOS DE LA PRÁCTICA A REALIZAR

• Describir los conversores A/D y D/A.


• Describir la arquitectura básica de los PLD’s y FPGA’s.
• Dibujar las macroceldas OLMC y explique su funcionamiento.
• Definir las diferencias entre los distintos dispositivos lógicos programables: PAL, GAL, PLA,
CPLD y FPGA.
• Escribir programas para implementar lógica combinacional en una GAL y en una FPGA.
• Escribir programas para implementar lógica secuencial en una GAL y en una FPGA.
2. EJERCICIOS DE LA PRÁCTICA
1. Dibuje el circuito del conversor análogo digital (flash) con una resolución
de 4 bits y explique cómo funciona.

2. Dibuje el circuito del conversor análogo digital, de doble rampa y explique


en forma detallada su funcionamiento.

3. Dibujar las macroceldas OLMC y explique claramente como es su


funcionamiento.

4. Explique la diferencia de los dispositivos lógicos programables: PLA, PAL,


GAL, CPLD y FPGA.

5. Dibuje el circuito del conversor (CAD) por aproximaciones sucesivas,


realice el diagrama de flujo y explique el funcionamiento del circuito en el
proceso de conversión.

6. Diseñar un circuito digital (con ayuda de un sensor de proximidad de luz)


que permita desplegar en displays de 7 segmentos la intensidad de luz en
cualquier momento dado.

7. Escribir los códigos CUPL y VERILOG, que permitan implementar la


siguiente multifunción.

𝐹𝐹 = �(1,3,9,1015) + �(0,11)
4 𝜑𝜑
𝐺𝐺 = 𝑣𝑣𝑣𝑣𝑥𝑥̅ 𝑦𝑦𝑧𝑧̅ + 𝑤𝑤
�𝑦𝑦 + 𝑥𝑥𝑧𝑧̅ + 𝑣𝑣𝑣𝑣𝑣𝑣 + 𝑣𝑣̅ 𝑤𝑤
�𝑥𝑥𝑥𝑥𝑧𝑧̅ + 𝑣𝑣𝑥𝑥𝑥𝑥
���

𝐻𝐻 = � 4 (5, 6, 8) ⋅ � 𝜑𝜑 (0, 2, 3, 15)

8. Realizar el código VHDL de un sistema digital que permita generar la


secuencia fibonacci (hasta el número 144) en display de 7 segmentos.

9. Realizar el código VHDL para un sistema digital con 4 bits de entrada que
genere a su salida el cuadrado del número ingresado (describir la
arquitectura de la memoria utilizada en el código).
- NOTA .-

Se tomará en cuenta, en la calificación los siguientes puntos:

 El procedimiento de los ejercicios.


 La puntualidad en la entrega de las prácticas.
 La “similitud” entre las prácticas de los estudiantes (si se encontrara
copia, se anulará el ejercicio y/o la práctica en su totalidad).

También podría gustarte