Está en la página 1de 5

1006774103, 1005040329.

Diseño y Simulación de Circuito Lógico


Combinacional

Orrego Uchima, Yeison Andrés. Leal Gelves, Pedro Luis.

Luego se realiza la tabla de verdad que relaciona los valores


Resumen – En el presente articulo se desarrolla un circuito lógico de entrada con el resultado que se quiere obtener, esto se hace
combinacional de cuatro entradas y dos salidas que tiene como siguiendo las condiciones. A continuación se obtiene la
fin la identificación de productos en una banda transportadora, ecuacion que describe dicha tabla de verdad y se simplifica
empleando únicamente las compuertas AND, OR y NOT. El usando algebra booleana y/o el mapa K. La ecuación
circuito se diseñó siguiendo las condiciones dadas por el simplificada es la base del diseño, que se realiza usando
problema, sacando la tabla de verdad, la ecuación simplificada y
finalmente el diseño. Se utilizan los teoremas del algebra
unicamente las compuertas logicas basicas. Finalmente el
booleana y el mapa K para la simplificación. Se simula el diseño y diseño se plasma en un simlador o placa de pruebas.
se comprueba su correcto funcionamiento. Al final se concluye
sobre el uso de los circuitos lógicos básicos, las resistencias Pull Los pasos descritos anteriormente se relaizan con mayor
Down y el uso de compuertas lógicas de las familias CMOS y detalle en el presente articulo, se obtiene un circuito logico
TTL. combinacional de cuatro entradas y dos salidas que indica el
tipo de producto en una banda transportadora.
Índice de términos – Álgebra booleana, circuito lógico, mapa K,
Proteus, tabla de verdad.
II. MARCO TEÓRICO
I. INTRODUCCIÓN
A. Compuertas lógicas
L a lógica digital es una ciencia de razonamiento aplicada
Son la base de la lógica digital, poseen una o más entradas y
a los circuitos eléctricos que realizan decisiones del tipo Sí y
una salida. Las entradas de estas compuertas están diseñadas
No [1]; al poseer solo dos opciones aparece el sistema binario
para recibir solamente datos binarios [3], valores de voltaje
como mejor elección para su representación matematica.
Un Sí será sinonimo de un 1 logico y un No de un 0 logico, altos y bajos que se presentan en un intervalo definido en la
la interpretación de estos unos y ceros debe ser precisa porque hoja de datos de la compuerta. En términos generales, las
son representaciones de un evento que ocurre fuera del compuertas lógicas se encargan de verificar el estado de sus
circuito logico. entradas y arrojan un resultado alto o bajo dependiendo de la
operación que realice. A pesar de que solo representan dos
Esta ciencia se aplica a multiples problemas que requieran valores, estos dispositivos son capaces de realizar diferentes
el tratamiento de señales para realizar ciertas acciones; operaciones lógicas, como; multiplicar, sumar, negar, afirmar,
conocer el resultado que se busca permite el diseño de los incluir o excluir. Todo depende de qué tipo de compuerta se
sistemas digitales. Esto se hace a partir de las compuertas esté utilizando [4].
logicas AND, OR y NOT, que son los circuitos más basicos de
la logica digital. Una herramienta muy útil al usar puertas lógicas, es la tabla
de verdad, la cual nos permite observar todas las posibles
Los circuitos que combinan unicamente compuertas logicas combinaciones en sus entradas y su respectiva señal de salida,
basicas se denominan circuitos logicos combinacionales [2], en cada uno de esos casos; de esta manera se facilita la
sus salidas solo dependen de la combinación de las entradas; comprensión y el diseño de un circuito lógico.
pueden ser de una o más entradas y una o más salidas.
El proceso para llegar a un circuito logico combinacional Se muestran a continuación las compuertas lógicas básicas,
inicia con el problema que se quiere solucionar, se identfican
se describe su funcionamiento, se muestra su tabla de verdad y
las condicones a cumplir, el numero de entradas y de salidas.
su símbolo:
O. Y. El autor pertenece a la Universidad de Pamplona (e-mail:
yeison.orrego@unipamplona.edu.co).
1) Compuerta AND
pedro.leal2@unipamplona.edu.co
L. P. El autor pertenece a la Universidad de Pamplona (e-mail: Esta compuerta realiza una multiplicación booleana de sus
yeison.orrego@unipamplona.edu.co).
1006774103, 1005040329. 2

entradas, por lo tanto, necesita que todas sus entradas se resultantes se les denomina NOR y NAND respectivamente.
encuentren en estado alto para que en su salida exista un
estado alto, por esto mismo se puede escuchar que la llaman
“compuerta de todo o nada” al momento de explicar su
funcionamiento.

Fig. 3. Simbolo de la compuerta NOT.

Tabla 3. Tabla de verdad compuerta NOT.


A SALIDA
Fig. 1. Simbolo de la compuerta AND.
0 1
1 0
Tabla 1. Tabla de verdad compuerta AND.
A B SALIDA B. Proteus, software de simulación
0 0 0
0 1 0 La simulación es una herramienta obligada en el diseño de
circuitos lógicos, permite comprobar de manera virtual que el
1 0 0 diseño realizado cumple con las expectativas. Ahorra tiempo y
1 1 1 dinero. Un software de simulación de circuitos electrónicos
muy acogido por la comunidad es Proteus, que permite el
2) Compuerta OR diseño del esquema electrónico de circuitos lógicos con una
variedad muy alta de componentes, es el utilizado en esta
Esta compuerta suma sus entradas, por lo tanto, solo práctica.
necesita que una de sus entradas se encuentre en estado alto
para que en su salida exista un estado alto.
C. Compuertas en Circuitos integrados

Los circuitos integrados digitales son una colección de


resistencias, diodos y transistores fabricados en una sola pieza
de material semiconductor, al cual se le conoce como sustrato,
denominado chip. El chip se encierra en un encapsulado
protector del cual salen terminales para conectar el integrado
con otros componentes. EL encapsulado más común es el dual
en línea DIP. Existen 2 grandes familias, la TTL (lógica de
Fig. 2. Simbolo de la compuerta OR.
transistor a transistor) y la CMOS (semiconductor metal-oxido
complementario) [5]. Las compuertas lógicas normalmente se
agrupan en circuitos integrados como se muestra a
Tabla 2. Tabla de verdad compuerta OR. continuación.
A B SALIDA
0 0 0
0 1 1
1 0 1
1 1 1

3) Compuerta NOT

El funcionamiento de esta compuerta es muy sencillo,


cuando en su entrada se encuentra un estado alto, a su salida
existirá un estado bajo, y viceversa. Permite realizar una Fig. 4. Circuito Integrado 74LS08, compuertas AND.
variedad de procesos, entre ellos la negación de las
operaciones antes vistas, esto es, negar la salida de la OR y la
AND obteniendo nuevas operaciones, a las compuertas
1006774103, 1005040329. 3

D. Pull Up y Pull Down

Cuando se realiza el montaje de un circuito lógico IV. METODOLOGÍA


combinacional en una placa de pruebas, se utilizan CIs como Habiendo indagado sobre las generalidades de los circuitos
el mostrado en la parte anterior, las conexiones que queden al lógicos combinacionales se puede entrar en materia del
aire en algunos casos son tomados por el CI como un alto para problema. La solicitud es la siguiente:
proteger el chip, pero en otros casos este valor queda como
indeterminado y afecta el funcionamiento del circuito. Es por En una banda transportadora pasan tres tipos de productos con
esto que se hace necesaria una conexión de pull up o pull diferentes características donde se instalaron 4 sensores (A, B,
down para garantizar una entrada válida para las compuertas. C, D) con el fin de identificar qué tipo de producto es, para
poderlo enviar a un área determinada. Existen dos bombillos
Como ambas conexiones son similares solo se muestra la de que indican el tipo de producto al operario (X1 y X2) para que
pull down, que garantiza un cero lógico siempre que el pueda visualizar la selección. Cuando se activa solo un sensor
interruptor esté abierto. en la salida se obtiene el equivalente en binario del número 1;
cuando se activan dos sensores la salida será el equivalente en
binario del número 3 y cuando se activan tres sensores se
obtiene a la salida el equivalente en binario del número 2. En
el momento que ningún sensor se active la salida va a ser
equivalente al número 1 en binario indicando un tiempo de
retardo, pero cuando se activen todos los sensores, las dos
bombillas van a estar apagados indicando que no se ha
realizado ningún tipo de selección.

Como ya se había mencionado se trata de un problema con


cuatro entradas que son proporcionadas por los sensores y dos
salidas que se visualizan en los bombillos. Por lo tanto, se
construye una tabla de verdad de 4 bits en la entrada, allí se
ponen todas las combinaciones posibles de los cuatro sensores,
también se ubican 2 columnas más para las salidas. Para llenar
las salidas se debe acudir a las condiciones:

Cuando se activa solo un sensor en la salida se obtiene el


Fig. 5. Esquema conexión de Pull Down. equivalente en binario del número 1.
Cuando se activan dos sensores la salida será el equivalente en
binario del número 3.
III. LISTA DE MATERIALES Cuando se activan tres sensores se obtiene a la salida el
equivalente en binario del número 2.
Tabla 4. Lista de materiales. Cuando ningún sensor se active la salida va a ser equivalente
al número 1.
Descripción Código Cantidad
Cuando se activen todos los sensores, las dos bombillas van a
Compuerta AND de tres estar apagados.
7411 12
entradas
Tabla 5. Tabla de verdad del circuito a diseñar.

Compuerta OR de tres entradas 74HC4075 4 A B C D X1 X2


0 0 0 0 0 1
0 0 0 1 0 1
Compuerta OR de dos entradas 7432 2
0 0 1 0 0 1
Compuerta NOT 7404 4 0 0 1 1 1 1
0 1 0 0 0 1
Fuente de voltaje DC --- 1 0 1 0 1 1 1
0 1 1 0 1 1
Interruptor DIP interactivo de 4
DIPSWC_4 1 0 1 1 1 1 0
elementos comunes
1 0 0 0 0 1
Resistencia de 900 0hmios --- 4 1 0 0 1 1 1
Led --- 2 0 0 1 0 1 1
1006774103, 1005040329. 4

0 0 1 1 1 0 A(~B)(~C)D+ A(~B)C(~D)+ A(~B)CD+ AB(~C)(~D)+


1 1 0 0 1 1 AB(~C)D+ ABC(~D)
1 1 0 1 1 0
X2= (~A)(~B)(~C)(~D)+ (~A)(~B)(~C)D+(~A)(~B)C(~D)+
1 1 1 0 1 0 (~A)(~B)CD+(~A)B(~C)(~D)+ (~A)B(~C)D+(~A)BC(~D)+
1 1 1 1 0 0 A(~B)(~C)(~D)+ A(~B)(~C)D+ A(~B)C(~D)+ AB(~C)(~D)

Para sacar la ecuación de la tabla se emplea, a preferencia de Ahora se simplifica usando el mapa K:
los autores, suma de productos. Las salidas se manejan como
independientes y se saca una ecuación para cada una. Lea ~A
como A negado.

Tabla 6. Productos para X1.


A B C D X1 Producto
0 0 0 0 0
0 0 0 1 0
0 0 1 0 0
0 0 1 1 1 (~A) (~B) CD
0 1 0 0 0 Fig. 6. Mapa K, simplificación de X1.
0 1 0 1 1 (~A)B(~C)D
0 1 1 0 1 (~A)BC(~D) Agrupando como indica la figura se simplifica X1 como sigue.
0 1 1 1 1 (~A)BCD
1 0 0 0 0 X1= (~B)CD+AB(~D)+B(~C)D+A(~B)D+AC(~D)+(~A)BC
1 0 0 1 1 A(~B)(~C)D
0 0 1 0 1 A(~B)C(~D)
0 0 1 1 1 A(~B)CD
1 1 0 0 1 AB(~C)(~D)
1 1 0 1 1 AB(~C)D
1 1 1 0 1 ABC(~D)
1 1 1 1 0

Tabla 7. Productos para X2.


A B C D X2 Producto
0 0 0 0 1 (~A)(~B)(~C)(~D)
Fig. 7. Mapa K, simplificación de X2.
0 0 0 1 1 (~A)(~B)(~C)D
0 0 1 0 1 (~A)(~B)C(~D) Agrupando como indica la figura se simplifica X1 como sigue.
0 0 1 1 1 (~A)(~B)CD
0 1 0 0 1 (~A)B(~C)(~D) X2=(~A)(~C)+ (~A)(~B)+ (~C)(~D)+ (~B)(~D)+ (~A)(~D)+
0 1 0 1 1 (~A)B(~C)D (~B)(~C)
0 1 1 0 1 (~A)BC(~D)
Obtenidas las ecuaciones para X1 y X2 se procede a dibujar el
0 1 1 1 0 circuito, esto en Proteus para luego simular. La ecuación dicta
1 0 0 0 1 A(~B)(~C)(~D) el dibujo, si hay una multiplicación se emplea una AND, si
1 0 0 1 1 A(~B)(~C)D hay una suma se emplea una OR, si aparece el signo ~ se
0 0 1 0 1 A(~B)C(~D) indica una negación, por ende una NOT.
0 0 1 1 0
1 1 0 0 1 AB(~C)(~D)
1 1 0 1 0
1 1 1 0 0
1 1 1 1 0

X1= (~A)(~B)CD+(~A)B(~C)D+(~A)BC(~D)+ (~A)BCD+


1006774103, 1005040329. 5

combinacionales-puertas-logicas. [Último acceso: 10 Octubre


2020].
[3] MecatronicaLATAM, «MecatronicaLATAM,» [En línea].
Available:
https://www.mecatronicalatam.com/es/tutoriales/electronica/c
ompuertas-logicas/. [Último acceso: 2020 Octubre 10].
[4] I. MecaFenix, «Ingeneria MecaFenix,» 22 Julio 2020. [En
línea]. Available:
https://www.ingmecafenix.com/electronica/compuertas-
logicas/. [Último acceso: 10 Octubre 2020].
[5] M. Garcia, «Sobre Mí,» 1 Mayo 2017. [En línea].
Available:
https://sobremimelvingarcia.wordpress.com/bimestre-
2/tareas/circuitos-integrados/. [Último acceso: 12 Octubre
Fig. 8. Diseño Final. 2020].
[6] Bradley Carlson, Diseño Logico Digital. CESCA.
A la hora de simular se implementó el pull down en las
entradas, se considera que A y X1 son los dígitos más
significativos de las entradas y salidas respectivamente. Los
detalles de la simulación se muestran en el video adjunto.

V. CONCLUSIÓN

Un circuito lógico combinacional de varias salidas puede


reescribirse como varios circuitos lógicos combinacionales de
una sola salida, pero solo se conseguiría aumentar de tamaño
el diseño, algo no deseado.

A pesar de que las compuertas lógicas en el esquema se


presentan aisladas en la realidad van ligadas entre sí, esto es,
que se presentan en CIs y cada uno trae varias compuertas. Por
lo que si se quisiera montar el diseño en una placa de pruebas
en realidad se necesitarían solo 8 CIs y sobrarían en algunos
un par de compuertas.

El valor de la resistencia Pull Down es vital para el


funcionamiento del circuito. Si se elige un valor muy alto
(10K) cuando debería presentarse el cero se registran valores
de 2 a 3 voltios, que entra en el valor indeterminado de la
compuerta, esto ocasiona fallos.

El circuito obtenido puede ser reducido aun más, sin embargo,


se deberían emplear algunas compuertas especiales como la
XOR, NAND, NOR y XAND.

VI. REFERENCIAS

[1] O. F. Alzate, «Código Electrónica,» 31 Enero 2017. [En


línea]. Available: http://codigoelectronica.com/blog/logica-
digital#:~:text=La%20l%C3%B3gica%20digital%20es%20un
a,una%20serie%20dada%20de%20circunstancias.. [Último
acceso: 11 Octubre 2020].
[2] Anonimus, «Sistemas de Multiplexado,» SitesGoogle, [En
línea]. Available:
https://sites.google.com/site/sistemasdemultiplexado/principio
s-de-electrnica-digital-y-puertas-lgicas/1-4-circuitos-

También podría gustarte