Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Principios
Danilo García-Hansen
QUE ES VHDL?
Señales:
signal m, n: integer range 0 to 15; -- 4 bits
VARIABLES
Las variables cambian de valor dentro del proceso, en cuanto reciben
una asignación aplicada sobre ellas.
Las asignaciones a variables se hacen con el símbolo ":="
DEFINICION DE LIBRERIAS
ENTIDAD (ENTITY)
ARQUITECTURA (ARCHITECTURE)
LIBRERIAS
library ieee;
use ieee.std_logic_1164.all; -- tipos de señales, funciones lógicas
use ieee.std_logic_arith.all; -- funciones aritméticas
use ieee.std_logic_unsigned.all; -- operaciones en binario natural
use ieee.std_logic_signed.all; -- operaciones en complemento a 2
EJEMPLO DE
ENTIDAD (ENTITY)
ARQUITECTURA
architecture Behavioral of PRINCIPAL is
signal --declaración de señales internas (es opcional)
begin
end Behavioral;