Está en la página 1de 22

Conversor de cdigo

Un conversor de cdigo puede hacerse simplemente conectando un decodificador a


un codificador. Por ejemplo, podemos imaginar un decodificador de binario natural BCD, es
decir, un descodificador con 4 entradas y 16 salidas de las que utilizamos 10 (las
correspondientes a las combinaciones binarias en BCD de los dgitos decimales desde el 0
hasta el 9. Estas 10 salidas las conectamos a las entradas de un codificador de cdigo binario
Gray, el cul tendr 4 salidas. Acabamos de hacer un conversor de cdigo de BCD natural a
binario Gray.
En resumen, se puede decir que un conversor de cdigo es un elemento lgico que traduce
una palabra de "n" bits a otra de "m" bits las cuales se refieren al mismo valor decimal, pero en
"distintos cdigos". En el ejemplo anterior los cdigos son el binario natural y el Gray.

CONVERSIN DE CDIGOS
Los conversores de cdigos son una aplicacin de las puertas lgicas en los sistemas
digitales. Los cdigos mas utilizados son el binario BCD 8421, octal, hexadecimal y el
decimal. Los dispositivos digitales pueden procesar solamente los bits "1" y "0" . Estas
largas cadenas de 1 y 0 son difciles de comprender por las personas. Por esta razn se
necesitan los conversores de cdigos para traducir el lenguaje de la gente al lenguaje
de la maquina.
Un ejemplo de conversor de cdigo es una sencilla calculadora manual, la cual esta
constituida por un dispositivo de entrada llamado teclado. Entre el teclado y la unidad
central de tratamiento "CPU" hay un codificador, que traduce el numero decimal
pulsado en el teclado a cdigo binario. La "CPU" realiza su operacin en binario y
produce un resultado en cdigo binario. El decodificador traduce el cdigo binario de la
CPU a un cdigo especial que hacen que luzcan los segmentos adecuados en el
visualizador de siete segmentos.
Los conversores de cdigos se dividen en dos tipos:

o Codificador
o Decodificador
CODIFICADORES
Un codificador es considerado como un traductor del lenguaje de la gente al
lenguaje de la maquina, es decir, traduce una entrada decimal a un numero
BCD 8421.
El diagrama lgico, en forma simplificada, de un codificador decimal a BCD se
muestra en la figura 1

Figura 1: Codificador BCD
El codificador tiene diez entradas a la izquierda y cuatro salidas a la derecha,
adems puede tener una entrada activa, que produce una nica salida.
Una caracterstica poco habitual del codificador es que no hay entrada 0. Una
entrada cero significa una salida 1111 (en D, C, B y A) que es verdadera
cuando todas las entradas del 1-9 estn desconectadas. Cuando las entradas no
estn conectadas, se dice que estn flotando.
En la figura se presenta el diagrama de bloques y la tabla de verdad de un
codificador comercial denominado de prioridad de 10-4 lneas.


Figura 2: Smbolo lgico del codificador 74147

1 2 3 4 5 6 7 8 9 D C B A
H H H H H H H H H H H H H
X X X X X X X X L L H H L
X X X X X X X L H L H H H
X X X X X X L H H H L L L
X X X X X L H H H H L L H
X X X X L H H H H H L H L
X X X L H H H H H H L H H
X X L H H H H H H H H L L
X L H H H H H H H H H L H
L H H H H H H H H H H H L
Tabla 1: Tabla de verdad del codificador 74147

La primera lnea de la tabla de verdad indica que no hay entrada. Cuando todas
las entradas flotan el alto, las salidas flotan en alto, lo cual es interpretado
como 0000 por los indicadores de salidas. La segunda lnea de la tabla muestra
la entrada decimal 9 activada por un nivel bajo, lo que produce LHHL en la
salida. Esta salida la invierten los cuatro inversores y en los indicadores BCD se
lee 1001.
En la misma lnea se muestra las entradas del 1 al 8 marcadas con X
(irrelevante). Una entrada irrelevante puede estar alta o baja. Este codificador
tiene una caracterstica de prioridad, que activa l nmero mayor que tenga
una entrada en baja.
Si por ejemplo tenemos un nivel bajo en el 3 y en 8, el codificador dar una
salida en binario correspondiente al numero mayor, en este caso el 8.
En la figura se presenta el diagrama lgico del codificador 74147, donde se
presentan las 30 puertas lgicas que lo conforman.


Figura 3: Diagrama lgico del codificoador 74147

Si queremos activar el 9 decimal le colocamos un nivel bajo. Esta entrada a 0 la


invierte el inversor 1, y se aplica a las puertas NOR 2 y 3, que se activan
entonces, dando una salida en baja. Las puertas NOR 4 y 5 se desactivan por la
presencia de 0 en las entradas de las puertas AND (de la 7-18) desactivadas.
Estas puertas AND estn desactivadas por los 0 de sus entradas inferiores,
producidos por la puerta NOR 6. Las puertas AND de la (7-18) aseguran que
tenga prioridad sobre las dems, la entrada decimal correspondiente al numero
mayor.
Tambin se dispone de codificadores con tecnologa CMOS, de donde se destaca
el codificador de prioridad de 10-4 lneas 74HC147.
DECODIFICADOR BCD A DECIMAL
Un decodificador es considerado como el proceso inverso de un codificador, es decir,
un traductor de lenguaje de las maquina al lenguaje de la gente.
El diagrama de bloque del decodificador se muestra en la figura 4.

Figura 4: Smbolo lgico de un decodificador BCD a decimal


El decodificador tiene 4 entradas a la izquierda que estn conformadas por el cdigo
BCD 8421, y tiene a la derecha diez lneas de salidas. En la figura se muestra el
decodificador comercial BCD a decimal, TTL 7442 y su correspondiente tabla de
verdad.

Figura 5: Smbolo lgico del decodificador/excitador BCD a decimal 7442

Lnea N Entradas BCD


D C B A 0 1 2 3 4 5 6 7 8 9
Lnea 1 0 L L L L L H H H H H H H H H
Lnea 2 1 L L L H H L H H H H H H H H
Lnea 3 2 L L H L H H L H H H H H H H
Lnea 4 3 L L H H H H H L H H H H H H
Lnea 5 4 L H L L H H H H L H H H H H
Lnea 6 5 L H L H H H H H H L H H H H
Lnea 7 6 L H H L H H H H H H L H H H
Lnea 8 7 L H H H H H H H H H H L H H
Lnea 9 8 H L L L H H H H H H H H L H
Lnea 10 9 H L L H H H H H H H H H H L
Lneas 11-
Invlido H H H H H H H H H H H H
16
Tabla 2: Tabla de verdad del decodificador 7442
A la izquierda se encuentran las 4 entradas BCD etiquetadas con D, C, B y A. Estas
entradas se activan con el uno lgico, o nivel alto. A la derecha se encuentran las 10
salidas del decodificador, cada una con un circulito que indican que las salidas son
activas en baja, es decir, que normalmente estn en alta.
Los inversores que se encuentran a la salida se aaden por conveniencia para controlar
las luces de los indicadores decimales, es decir, que una salida activa se invierte a uno
lgico en los indicadores de salidas.
En la primera lnea de la tabla de verdad se muestran todas las entrada en el nivel
bajo (L). Con una entrada LLLL se activa la salida del cero decimal al estado bajo. El
inversor inferior complementa esta salida al nivel alto, lo que hace que luzca el
indicador de la salida decimal cero, no permitiendo que ninguno de los dems luzcan.
De igual forma, la quinta lnea muestra la entrada BCD LHLL, lo que hace que se active
la salida cuatro en el nivel bajo. Esta salida es invertida haciendo que luzca el indicador
de la salida decimal 4.
La lnea 11 muestra la entrada HLHL, que normalmente representa el decimal 10.
Como el cdigo BCD no contiene este nmero, esta entrada es invalida y no lucir
ninguna lampara de salida. Igualmente para las 5 ltimas lneas de la tabla del
diagrama lgico del decodificador 7442, BCD a decimal, se muestran las figuras.

Figura 6: Diagrama lgico del decodificador BCD a decimal 7442


La entrada A3 es el BIT ms significativo (MSB), o la entrada del 8 y la entrada A0 es
el BIT menos significativo (LSB), o la entrada del 1. La salidas estn etiquetadas con
nmeros decimales. La salidas que se encuentran activas en baja aparecen con barras
sobres las salidas decimales (9, 8 etc...)
Supongamos que la entrada BCD es LLLL (0000). Si seguimos cuidadosamente el
camino de las cuatro entradas a travs de los inversores 12,14,16 y 18, se observa
que a la puerta NAND 1 se aplican cuatro 1 lgicos, que la activan produciendo
entonces un cero lgico. Todas las dems puertas NAND quedan inhabilitadas por la
presencia de un cero en algunas de sus entradas.
En la tecnologa CMOS tambin encontramos diversos tipos de decodificadores BCD a
decimal, dentro de los cales los ms representativos son el 4028, 74C42 y 74HC42.

DECODIFICACIN BCD A CDIGO DE 7 SEGMENTOS


Un dispositivo de salida muy utilizado para visualizar nmeros decimales es el
visualizado de 7 segmentos.

Los 7 segmentos se marcan con las letras de la a a la g .

Existes varios tipos de visualizadores dentro de los cuales encontramos, el denominado


incandescente, que es similar a una lmpara comn, el de tubo de descarga de gas,
que opera a tensiones altas y produce una iluminacin anaranjada, el de tubo
fluorescente, que da una iluminacin verdosa cuando luce y opera con tensiones bajas,
el mas moderno que es el de cristal liquido (LCD), este crea nmeros negros sobre
fondos plateados, y por ltimo el visualizador comn de diodos emisores de luz (LED)
que produce un brillo rojo cuando luce.
Existen visualizadores LED que cuando lucen emiten colores distintos del rojo.
Como el visualizador LED es el mas fcil de utilizar y el mas comn por eso se tratar
con mas detalles.
En la figura se muestra la forma de operacin de un visualizador de 7 segmentos.

Figura 7: Operacin de un visualizador de 7 segmentos

Cada segmento (de a a g ) contiene un LED. Como la corriente tpica de un LED es de


20 mA, se colocan resistores de 150 (ohmios) con el fin de limitar dicha corriente. Sin
este resistor, el LED podra quemarse debido a que un LED puede soportar solo 1.7V a
travs de sus terminales.
Existen dos tipos de visualizadores LED, el de nodo comn y el de ctodo comn.
Ctodo comn: cuando todos los ctodos estn unidos entre s y van directo a tierra.
Anodo comn: cuando todos los nodos estn conectados entre s y van a la fuente de
alimentacin como el caso del ejemplo del cual estamos hablando.
Si, por ejemplo, se desea que aparezca el nmero decimal 7 en el visualizador de la
figura deben cerrarse los conmutadores a, b y c para que luzcan los segmentos a, b y c
del LED. Observar que una tensin de tierra (baja ) activa a los segmentos de este
visualizador LED.
En la figura se muestra el dispositivo TTL denominado decodificador excitador 7447A
BCD a 7 segmentos, con su respectiva tabla de verdad.

Figura 8: Smbolo lgico del decodificador 7447

Tabla 3: Tabla de verdad del decodificador 7447


La entrada es un nmero BCD de 4 BITS, el nmero BCD se transforma en un cdigo
de 7 segmentos que ilumina los segmentos del visualizador LED. Tambin se muestran
3 entradas extras en el smbolo lgico. La entrada de test de lmparas har lucir todos
los segmentos adecuados para ver si son operativos.
Las entradas de borrado que son las que desconectan todos los elementos activados.
Las entradas de borrado y test de lmparas son activadas por niveles de tensin bajo y
las entradas BCD son activadas por 1 lgicos.
Observar la lnea 1 de la tabla de verdad. Para que aparezca el 0 decimal en el
visualizador, las entradas BCD deben ser LLLL. Esto activar los segmentos a, b, c, d, e
y f para formar el cero decimal.
Las entradas BCD invlidas (decimal 10, 11, 12, 13, 14 y 15) no son nmeros BCD; sin
embargo, generan una nica salida. Para la lnea decimal 10, entradas HLHL, la
columna de salida indica que se activan la salida d, e, y g. Formando una pequea c.
En la familia CMOS existen muchos decodificadores para visualizadores dentro de los
cuales se destacan el 74C48 que no necesita circuitera extra para la mayora de los
visualizadores LED, el 4511 y el 74HC4511.

2. DECODIFICADORES Y CODIFICAD
ORES
Los sistemas digitales contienen datos o informacin que est en
alguna forma de cdigo binario, los cuales se operan de alguna manera.
En esta parte se examinan circuitos combinatorios, cuyas aplicaciones
incluyen:

1. Cambio de datos de una forma a otra.

2. Tomar datos y enrutarlos a uno de varios destinos.

3. Decodificacin de datos para despliegues visuales.

Muchos de los circuitos lgicos que cumplen estas funciones estn


ahora como circuitos integrados en la categora de Mediana Escala
de Integracin (MSI - Medium Scale Integration). Por esta razn, no nos
concentraremos en el diseo de estos circuitos, sino que investigaremos
cmo se usan solos o en combinacin, para cumplir varias operaciones
sobre datos digitales. Las operaciones que se discuten
son: Decodificacin y codificacin. Al final se
presentan EJERCICIOS.

2.1 DECODIFIC
ADORES
Un decodificador es
un circuito lgico
combinacional, que
convierte un cdigo de
entrada binario
de N bits en M lneas
de salida (N puede ser
cualquier entero y M es
un entero menor o
igual a 2N), tales
que cada lnea de
salida
ser activada para una
sola de
las combinaciones posibles de entrada. La Figura 1, muestra el
diagrama general de un decodificador de N entradas y M salidas.
Puesto que cada una de las entradas puede ser 1 o 0,
hay 2N combinaciones o cdigos de entrada. Para cada una de estas
combinaciones de entrada slo una de la M salidas estar activada 1,
para lgica positiva; todas las otras salidas estarn en 0. Muchos
decodificadores se disean para producir
salidas 0 activas, lgica negativa, donde la salida seleccionada
es 0 mientras que las otras son 1. Esto ltimo se indica siempre por la
presencia de pequeos crculos en las lneas de salida del diagrama del
decodificador.

Algunos decodificadores no usan todos los 2N cdigos posibles de


entrada, sino slo algunos de ellos. Por ejemplo,
un decodificador BCD a DECIMAL, tiene un cdigo de entrada de 4
bits, el cual slo usa diez grupos codificados BCD, 0000 hasta 1001.
Algunos de estos decodificadores se disean de tal manera, que si
cualquiera de los cdigos no usados se aplican a la entrada, ninguna de
las salidas se activar.

La Figura 2, muestra la
circuitera para un
decodificador
con 3 entradas
y 23=8salidas. Como
slo usan
compuertas Y, las
salidas activadas
son 1. Para tener
salidas activadas 0,
deberan usarse
compuertas NO Y.

Puede hacerse
referencia a este
decodificador de distintas maneras, todas ellas vlidas y usuales. Pude
llamarse un decodificador de 3 lneas a 8 lneas (3x8), porque
tiene tres lneas de entrada y ocho de salida. Tambin recibe el nombre
de convertidor o decodificador de binario a octal, porque toma un
cdigo de entrada binario de tres entradas y produce un 1 en una de
las ocho (octal) salidas correspondientes a ese cdigo. A veces se hace
referencia al circuito como como un decodificador 1 de 8,
porque una de las 8 salidas se activa a la vez.

A continuacin se muestra la tabla funcional para


este decodificador (74138).

DEC A B C O0 O1 O2 O3 O4 O5 O6 O7
0 0 0 0 1 0 0 0 0 0 0 0
1 0 0 1 0 1 0 0 0 0 0 0
2 0 1 0 0 0 1 0 0 0 0 0
3 0 1 1 0 0 0 1 0 0 0 0
4 1 0 0 0 0 0 0 1 0 0 0
5 1 0 1 0 0 0 0 0 1 0 0
6 1 1 0 0 0 0 0 0 0 1 0
7 1 1 1 0 0 0 0 0 0 0 1

El logigrama correspondiente es:


Si se tiene una funcin reducida, deber primero obtenerse su funcin
cannica para poderla realizar con un decodificador.

La mayora de estos circuitos tienen slo dos niveles de conmutacin.


La tabla funcional queda en funcin de minitrminos por utilizarse
lgica positiva.

EJEMPLO
1. Disear
un decodificador BCD
a DECIMAL.

SOLUCIN

Un decodificador q
ue toma un
cdigo BCD de 4
bits en la entrada y
produce 10salidas
correspondientes a los
dgitos decimales,
se denomina un decodificador (o convertidor) BCD a decimal.
La Figura 3, muestra el arreglo lgico bsico que usa compuertas Y.
Cada salida se hace 1 cuando ocurre su grupo
codificado BCDcorrespondiente. Por ejemplo, O5 es 1 slo cuando
cuando 0101 (5 en BCD) ocurra en las entradas ABCD, respectivamente.
Este decodificador se llama tambin
un decodificador de 4 por 10 lneas (4x10) o un decodificador 1 de 10.

A continuacin se presenta la tabla funcional correspondiente:

DEC A B C D O0 O1 O2 O3 O4 O5 O6 O7 O8 O9
0 0 0 0 0 1 0 0 0 0 0 0 0 0 0
1 0 0 0 1 0 1 0 0 0 0 0 0 0 0
2 0 0 1 0 0 0 1 0 0 0 0 0 0 0
3 0 0 1 1 0 0 0 1 0 0 0 0 0 0
4 0 1 0 0 0 0 0 0 1 0 0 0 0 0
5 0 1 0 1 0 0 0 0 0 1 0 0 0 0
6 0 1 1 0 0 0 0 0 0 0 1 0 0 0
7 0 1 1 1 0 0 0 0 0 0 0 1 0 0
8 1 0 0 0 0 0 0 0 0 0 0 0 1 0
9 1 0 0 1 0 0 0 0 0 0 0 0 0 1
10 1 0 1 0
11 1 0 1 1
12 1 1 0 0
todas las salidas = 0
13 1 1 0 1
14 1 1 1 0
15 1 1 1 1

Este decodificador es un ejemplo de uno que no usa todas las


combinaciones de entrada. Los grupos codificados 1010 hasta 1111 son
invlidos para BCD y no producen ninguna salida activa. En la
familia TTL, el circuito integrado 7442 (mediana escala de integracin) es
un decodificador BCD a decimal con salidas activas 0.

Sin embargo, hemos visto que cuando se tienen cdigos de entrada


que no se presentan, como es el caso, se pueden usar dichos cdigos
como trminos indiferentes. Por tanto, de la tabla se obtienen
las funciones de conmutacin siguientes:

D0 = 3m (0) + 3x (10-15) ; D5 = 3m (5) + 3x (10-15)

D1 = 3m (1) + 3x (10-15) ; D6 = 3m (6) + 3x (10-15)

D2 = 3m (2) + 3x (10-15) ; D7 = 3m (7) + 3x (10-15)


D3 = 3m (3) + 3x (10-15) ; D8 = 3m (8) + 3x (10-15)

D4 = 3m (4) + 3x(10-15) ; D9 = 3m (9) + 3x (10-15)

Las funciones de conmutacin, se reducen utilizando un solo mapa


de Karnaugh, en el cual se colocan las funciones D0 a D9 en lugar
del minitrmino correspondiente. Los enlaces se realizan considerando
cada una de las funciones con los trminos indiferentes. El mapa se
presenta en la siguientes figura:

Del mapa se obtienen las funciones reducidas siguientes:

D0(A,B,C,D) = A'B'C'D' D5(A,B,C,D) = BC'D

D0(A,B,C,D) = A'B'C'D' D5(A,B,C,D) = BC'D

D1(A,B,C,D) = A'B'C'D D6(A,B,C,D) = BCD'

D2(A,B,C,D) = B'CD' D7(A,B,C,D) = BCD

D3(A,B,C,D) = B'CD D8(A,B,C,D) = AD'

D4(A,B,C,D) = BC'D' D8(A,B,C,D) = AD


El logigrama se
presenta en la figura
adjunta.

Puede observarse de
ambos logigramas que
se reducen el nmero
de entradas en
algunas de la
compuertas Y. Esto es
vlido siempre y
cuando no ocurran los
cdigos de entrada
de 10 al 15.

EJEMPLO 2. Disear un sumador completo de 2 bits, con


un decodificador y compuertas externas.

SOLUCIN

Las expresiones para la suma y el acarreo para el sumador


completo de 2 bits, son:

S(A, B, C) = 3m (1,2,4,7)

C0(A, B, C) = 3m (3,5,6,7)
El logigrama correspo
ndiente se presenta en
la figura anexa. Puede
observarse que las
funciones S y C0 se
generan utilizando una
compuerta NO-Y para
cada una de ellas,
debido a que
el decodificador entre
ga maxitrminos (lgi
ca negativa).

El circuito
integrado 7420,
contiene dos compuertas NO- Y con cuatro entradas cada una.

EJEMPLO 3. Disear un decodificador BCD a 7 segmentos.


SOLUCIN

Algunos despliegues
numricos usan una
configuracin
de 7 segmentos, Figur
a 4a, para producir los
caracteres
decimales 0-9. Cada
segmento puede ser
un diodo emisor de
luz (LED -
Light Emisor Diode).
La Figura 4b, muestra los patrones de los segmentos que se usan para
desplegar los diferentes dgitos. Por ejemplo, para desplegar el
nmero 6, los segmentos c, d, e, f y g se activan mientras los
segmentos a y b no lo estn.

Un decodificador/manejador BCD a 7 segmentos, se usa para tomar


una entrada BCD de 4 bits y desplegar el dgito decimal, despus de
pasar corriente por los segmentos apropiados. La lgica para
este decodificador es ms complicada que aquellas examinadas
previamente, porque cada salida se activa para ms de una combinacin
de entrada. Por ejemplo, el segmento e debe activarse para cualesquiera
de los dgitos 0, 2, 6 y 8, lo que ocurre en cualesquiera de los
cdigos 0000, 0010, 0110 o 1000. La siguiente tabla funcional, presenta
la relacin de entrada en BCD y la activacin de los segmentos
desplegados.

CDIGO BCD EXHIBIDOR DE 7 SEGMENTOS


DEC
A B C D a b c d e f g
0 0 0 0 0 1 1 1 1 1 1 0
1 0 0 0 1 0 1 1 0 0 0 0
2 0 0 1 0 1 1 0 1 1 0 1
3 0 0 1 1 1 1 1 1 0 0 1
4 0 1 0 0 0 1 1 0 0 1 1
5 0 1 0 1 1 0 1 1 0 1 1
6 0 1 1 0 0 0 1 1 1 1 1
7 0 1 1 1 1 1 1 0 0 0 0
8 1 0 0 0 1 1 1 1 1 1 1
9 1 0 0 1 1 1 1 0 0 1 1
10 x x x x x x x

15 x x x x x x x

Una vez establecida la tabla funcional, se obtienen las expresiones


booleanas para cada salida y luego se simplifican e implementan usando
las tcnicas vistas anteriormente. Este procedimiento se deja como
ejercicio.
La Figura 5, muestra
un decodificador BCD
a 7 segmentos
(TTL 7446 o 7447) que
se usa para manejar
una lectura con diodos
emisores de
luz de 7 segmentos.
Cada segmento
consiste de uno o dos
diodos emisores de
luz. Los nodos de
los diodos estn todos
conectados a Vcc (+5
volts). Los ctodos de
los mismos estn conectados a travs de resistencias limitadoras de
corriente a las salidas apropiadas del decodificador. Este ltimo tiene
salidas activas 0 (bajas), las cuales son transistores de manejo
con colector abierto, que pueden absorber corrientes bastante altas.
Esto es porque las lecturas con diodos emisores de luz pueden requerir
entre 10 y 40 mA por segmento, dependiendo del tipo y tamao.

Para ilustrar la operacin de este circuito, supngase que la


entrada BCD es A=0,B=1, C=0 y D=1, que es 5 en BCD. Con estas
entradas las salidas del decodificador a', f', g', c' y d' sern conducidas
a 0 (conectadas a tierra), permitiendo que la corriente fluya a travs de
los segmentos a, f, g, c y d desplegando por consiguiente el numeral 5.
Las salidas b' y e' estarn en 1 (abiertas), as que los segmentos del
diodo b y e no pueden conducir.

EJEMPLO
4. Decodificador de 2
a 4 lneas con entrada
de
habilitacin (enable),
como se muestra en el
diagrama a bloques
adjunto.
SOLUCIN

La tabla funcional para este decodificador es:

E A B D0 D1 D2 D3
1 x x 1 1 1 1
0 0 0 0 1 1 1
0 0 1 1 0 1 1
0 1 0 1 1 0 1
0 1 1 1 1 1 0

De la tabla se observa que cuando E=1, sin importar que valores


tomen A y B, las salidas son 1. El logigrama se muestra en la siguiente
figura:

EJEMPLO
5. Disear un decodificador de 4x16 con 2 decodificadores de 3x8,
con entrada E de habilitacin.

SOLUCIN
La figura adjunta
muestra el diagrama
correspondiente
al decodificador de 4x
16.

2.2 CODIFICADORES
Un decodificador acepta
un cdigo de entrada
de N bits y produce
un 1 o 0 en una y slo una
lnea de salida. En otras
palabras, se puede decir
que
un decodificadoridentifica,
reconoce o detecta un
cdigo particular.
El opuesto de este proceso
de decodificacin es
llamado CODIFICACIN y
es ejecutado por un circuito
lgico llamado CODIFICADOR.

Un codificador tiene un nmero de lneas de entrada, de las


cuales slo una es activada en un tiempo dado y produce un cdigo de
salida de N bits, dependiendo de cul entrada es activada. La Figura 6,
muestra el diagrama general de un codificadorcon M entradas
y N salidas. Todas las entradas y salidas estn en 1 cuando
estnactivadas (Note la ausencia de crculos en el diagrama).

Se vio que
un decodificador binario a
octal acepta un cdigo
binario de entrada de 3 bits
y activa una de las 8 lneas
de salida.
Un codificadoroctal a bin
ario opera de la manera
opuesta.
Acepta ocho lneas de
entrada y produce un
cdigo de 3 bits a la salida.
Su logigrama se muestra
en la Figura 7, tomando
como base la siguiente tabla funcional:

CDIGO
ENTRADA
BINARIO
A0 A1 A2 A3 A4 A5 A6 A7 O2 O1 O0
1 0 0 0 0 0 0 0 0 0 0
0 1 0 0 0 0 0 0 0 0 1
0 0 1 0 0 0 0 0 0 1 0
0 0 0 1 0 0 0 0 0 1 1
0 0 0 0 1 0 0 0 1 0 0
0 0 0 0 0 1 0 0 1 0 1
0 0 0 0 0 0 1 0 1 1 0
0 0 0 0 0 0 0 1 1 1 1

Donde las funciones de conmutacin son:

O2(A0,A1,A2,A3,A4,A5,A6,A7) = A4+A5+A6+A7

O1(A0,A1,A2,A3,A4,A5,A6,A7) = A2+A3+A6+A7
O0(A0,A1,A2,A3,A4,A5,A6,A7) = A1+A3+A5+A7

Se supone que slo una de las entradas es 1 cada vez, as que slo
hay 8 condiciones posible de entrada. El circuito est diseado de tal
manera que cuando A0 es 1, se genera a la salida el cdigo binario 000;
cuando A1 es 1, se genera el cdigo binario 001, cuando A2 es 1, se
genera el cdigo binario 010 y as sucesivamente. El diseo del circuito
es muy simple, puesto que involucra analizar cada bit de salida y
determinar para cules casos de entrada ese bit es 1 y luego pasar los
resultados por una compuerta O. Por ejemplo, la tabla funcional muestra
que O0 (bit menos significativo del cdigo de salida) debe ser 1 cuando
cualesquiera de las entradas A1, A3, A5 o A7 sean 1.

EJEMPLO 6. Describir la estructura y operacin de


un codificador decimal a BCD con salidas activas 0.

SOLUCIN

Este codificador toma


10 lneas de entrada,
una sola de las cuales
estar en 1 y produce
un cdigo de salida de
4 bits BCD. Puesto que
hay 4 salidas, el
circuito contiene cuatro
compuertas. Las
compuertas usadas
son NO-O, porque han
de ser
normalmente 1 e ir a 0,
cuando una de las
entradas se hace 1.
La Figura 8, muestra el diagrama de este codificador. La siguiente tabla
funcional muestra las entradas y salidas del codificador.
ENTRADAS CDIGO BCD

A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 O3 O2 O1 O0
1 0 0 0 0 0 0 0 0 0 1 1 1 1
0 1 0 0 0 0 0 0 0 0 1 1 1 0
0 0 1 0 0 0 0 0 0 0 1 1 0 1
0 0 0 1 0 0 0 0 0 0 1 1 0 0
0 0 0 0 1 0 0 0 0 0 1 0 1 1
0 0 0 0 0 1 0 0 0 0 0 0 1 0
0 0 0 0 0 0 1 0 0 0 0 0 0 1
0 0 0 0 0 0 0 1 0 0 0 0 0 0
0 0 0 0 0 0 0 0 1 0 0 1 1 1
0 0 0 0 0 0 0 0 0 1 0 1 1 0

También podría gustarte