Está en la página 1de 55

Unidad VI LA FUNCIN DE CONVERCIN DIGITAL / ANALOGICO (DAC) Y

ANALGICO / DIGITAL (ADC) CON MICROCONTROLADORES DE USO


DEDICADO.

6.1 INTRODUCCIN
Las seales analgicas tambin son llamadas seales del mundo real, debido a que muchas
seales fsicas son analgicas por naturaleza. Actualmente gran cantidad de aplicaciones
para computadoras y otros sistemas digitales, requieren de seales de entrada del mundo
real, tales como temperatura, presin, flujo, nivel. Incluso seales que pueden incluir
imgenes y voz.
Actualmente los sistemas digitales estn siendo usados para controlar o manipular
seales en el mundo real, ya sea, en la industria, en autotransportes o en electrodomsticos
entre otros.
6.1.1Seales analgicas y digitales
Una seal analgica es aquella que tiene valores continuos sobre un rango dado, en
contraste al nmero determinado de valores discretos que presentara una seal digital para
el mismo rango.
Ejemplo 6 - 1
Tengamos en cuenta una fuente variable de voltaje, que tiene un voltaje de salida en
un rango de escala de 0 a 12 Volts, ajustable con un potencimetro. Luego entonces ser
posible tener un voltaje de salida con un nmero infinito de valores continuos. Una fuente
de voltaje digital con el mismo rango de escala pero con valores especficos de salida 0, 5,
10, y 12 V tendr solamente 4 valores discretos.
Ejemplo 6 - 2
Consideremos la grafica de una seal analgica de entre 0 y 5 volts y veamos la diferencia
con la misma seal pero con una representacin digital de 4 bits. Se puede observar que en
la grafica analgica la curva tiene un numero infinito de valores entre 0 y 5 Volts tanto en el
eje vertical como en el horizontal que representa el tiempo en segundos. Mientras que en la
representacin digital solamente se tienen 16 valores diferentes para mostrar el eje
vertical y 36 instantes de toma de lectura o medicin lo que da como resultado solamente
algunos puntos discretos sobre la curva analgica obviamente si se usara una representacin
digital de mas Bits, digamos 8 , se tendra en el eje vertical hasta 256 valores diferente , es
decir , el numero de valores depende directamente del numero de n bits que se usen.
Numero de valores diferentes = 2n

Figura 6-1 Representacin de una seal analgica

Figura 6-2. Representacin de una seal Digital


6.1.2 Interfase con el mundo real
Para poder realizar la interfase entre el mundo analgico y el digital se requiere de dos
procesos bsicos. La conversi
n analgica / digital ADC (por sus siglas en ingles Analog Digital Converter) y la
conversin digital / analgica DAC (Digital Analog Converter).
Ejemplo 6 - 3.
Termostato digital para el control de la temperatura en un cuarto de habitacin.

Figura 6-3 Diagrama a bloques de un termostato para el control de temperatura que usa un
ADC.
La temperatura del cuarto produce en un sensor de termopar un voltaje que
es proporcional a la temperatura del cuarto, este voltaje es acondicionado por un
amplificador operacional y aplicado a la entrada de un ADC, donde es convertida a una
seal digital y peridicamente muestreada por un microprocesador . Por ejemplo
supongamos que la temperatura del cuarto es de 17 C . Un voltaje especifico a esta
temperatura aparece a la entrada del convertidor ADC de 8 bits y en su salida el
convertidor da una seal digital correspondiente digamos de 01000011 en cdigo binario
de 8 bits.
Internamente, el microprocesador compara este numero binario con un numero, tambin
binario, que representa la temperatura deseada supngase 01001000 para 23 C, Que es el
valor deseado de temperatura que previamente se ha introducido a travs de un teclado y
almacenado en un registro. Como resultado de la comparacin, en que para este ejemplo el
el cuarto tiene una temperatura actual menor que el valor deseado, el microprocesador
comanda a la unidad de control a que se encienda el dispositivo calentador del cuarto.
Como el microprocesador continuara monitoreando la temperatura del cuarto va el
convertidor ADC , cuando la temperatura del cuarto iguale exceda la temperatura deseada
el microcontrolador apagar el dispositivo calentador.

Ejemplo 6 - 4
Grabadora / Reproductora Digital de Audio (GDA)
En este ejemplo se usan ambos convertidores DAC y ADC, con un diagrama muy
elemental explicaremos este ejemplo.

Figura 6-4 Diagrama a bloques de una Grabadora / Reproductora Digital de Audio (GDA)
Una seal de audio, es desde luego una seal analgica. Cuando se est en el modo de
grabacin esta seal de audio que puede provenir de un micrfono algn otro dispositivo,
se acondiciona con amplificadores operacionales y se pasa a travs del ADC con lo cual se
produce una seal digital susceptible de ser grabada en una cinta digital. En el modo de
reproduccin cuando la seal proviene de una cinta de grabacin digital, la seal es
procesada con un microcontrolador, convertida con un DAC y finalmente amplificada para
ser enviada al sistema de bocinas de reproduccin del audio.
6.2 Convertidores Digital / Analgico DAC.
En esta seccin examinaremos las caractersticas bsicas de los convertidores DAC que es,
el dispositivo que transduce informacin digital a una cantidad continua, es decir un ADC
acepta una palabra digital y entrega una cantidad proporcional al valor numrico
representado por la palabra.
6.2.1 El ADC bsico.

En la figura 6-5 se muestra el diagrama simplificado de un DAC bsico. Este dispositivo


convierte en una corriente cada bit de su entrada de datos digitales cuyo valor binario sea 1,
y suma tales corrientes en ISUM como su salida analgica la cual puede ser aprovechada a
travs de RSALIDA en VSUM que ser un voltaje proporcional al valor de la palabra digital de
entrada. Cada conmutador, S, controla una fuente de corriente, I, cuando un conmutador
esta en 1, su fuente contribuye a la corriente de salida I SUM, que de esta forma se vuelve
proporcional a la entrada en paralelo de la palabra de datos digitales.

Figura 6-5 Diagrama simplificado de un convertidor bsico Digital / Analgico con


4 bits.Las
entradas de datos digitales del bit 1 al bit 4 controlan los estados de los
conmutadores
S1 a S4.
Las entradas de datos digitales del bit 1 al bit 4 controlan los estados de los conmutadores
S1 a S4.
En la figura 6-6 se muestra un diagrama de bloques simplificado de un DAC completo. El
registro de entrada o BUFFER asegura que los bits de entrada estn presentes en forma
simultnea y que se mantengan durante la conversin. Con la seal de intermitencia o
STROBE, se controla que los registros de entrada se transfieran simultneamente a la salida
de los registros de salida, las cuales se conectan a las entradas del convertidor de digital a
analgico. El voltaje de referencia V REF es una fuente de voltaje estable que suministra
las corrientes a las fuentes de corriente. El amplificador operacional convierte la salida de
corriente del convertidor en un voltaje de salida proporcional, VSALIDA .

Figura 6-6. Diagrama de bloques simplificado de un sistema DAC


completo.
6.2.2 DAC de resistencia binaria ponderada.
Este convertidor consta de los cuatro elementos siguientes:
1).- Una red de resistencia ponderada en la cual los valores de la resistencia se incrementan
en un factor de 2 para cada bit, el valor para la resistencia en la posicin del digito ms
significativo bMs es
R bMs = R x 20
En las posicines siguientes al bMs, el valor es
Rx 21 , Rx 22, . Rx 2n-1
Siendo el ltimo termino el valor de la resistencia para el bit menos significativo bms
2).- Un total de n conmutadores, uno para cada bit aplicado al DAC.
3).- Un voltaje de referencia estable, VREF.
4).- Un elemento sumador, el cual debe sumar las corrientes que fluyan de la red resistiva.
Luego entonces la corriente debida a al bit 1 ( bMs) en el 1 lgico es, VREF / 1 R; la
corriente debida al bit 2 en el 1 lgico es VREF / 2 R, etc.; la corriente ms pequea se
debe
al bit bms y es:
I bms = VREF / 2n-1 x R
Y la corriente I SUM es la suma de todas las aportaciones de corriente por cada bit.
Ejemplo 6 5.
En la figura 7-7 se muestra un ADC con entradas de resistencia binaria ponderada con un
amplificador operacional como elemento sumador. Cada una de las resistencias de entrada
tienen o no corriente dependiendo del valor de entrada ya se valor ALTO ( 1 lgico) o Bajo
(0 lgico). Si la entrada es el binario 1, la cantidad de corriente que entra al circuito
depende del valor del resistor colocado a su entrada, que de acuerdo a los valores mostrados
cada uno difiere de otro en un valor de 2 n, como se muestra en la figura. Puesto que

prcticamente no entra corriente al amplificador operacional por su entrada inversora, toda


la corriente pasara a travs de RF por lo que el VSALIDA ser = IFRF.

Figura 7-7 6-ADC con entradas de resistencia binaria ponderada con un amplificador
operacional como elemento sumador
Una desventaja de este tipo de convertidor es la gran cantidad de resistores con valor
diferente que se requieren, adems que su estabilidad y rango de tolerancia deber ser
mejor del 0.5 %.
Ejemplo 6 6.
Determinar el voltaje de salida para el DAC mostrado en la figura 6-8(a) Si se aplica ,a las
entradas una secuencia de nmeros binarios de 4 bits representados con las formas de onda
mostradas en la figura 6-8(b).

Figura 6-8 (a) ejemplo 6-6


Figura 6-8(b) formas de onda para el ejemplo 6-6
Solucin.
Primero determinamos el valor de la corriente por cada una de las entradas de resistencia
ponderada.
Dado que la entrada (-) del amplificador operacional (amop) es 0 V ( tierra virtual ). Y el
binario 1 corresponde a +5V , aplicando ley de ohm tenemos:
I0 = 5 V / 40 Kohm = 0.125 mA
I1 = 5 V / 20 Kohm = 0.25 mA
I2 = 5 V / 10 Kohm = 0.5 mA
I 3 = 5 V / 5 Kohm = 1.0 mA
Asumiendo que no fluye corriente por el amplificador operacional debido a su muy alta
impedancia y que entonces toda la corriente fluye a travs de RF . Y dado que un extremo
de esta resistencia tiene un voltaje de 0 V (tierra virtual), y por el otro deber tener un
voltaje igual al voltaje de salida y que ser negativo respecto a la tierra virtual tenemos:
VSALIDA (D0) = ( 1 k ohm) ( - 0.125 mA ) = - 0.125 V
VSALIDA (D1) = ( 1 k ohm) ( - 0.25 mA ) = - 0.25 V
VSALIDA (D2) = ( 1 k ohm) ( - 0.5 mA ) = - 0.5 V
VSALIDA (D3) = ( 1 k ohm) ( - 1.0 mA ) = - 1.0 V
En la figura 6-8 (b) Podemos observar que el primer cdigo binario de entrada es 0000
que produce un voltaje de salida de 0 V, El siguiente cdigo es 0001 que produce un voltaje
de salida de -0.125 V. El siguiente cdigo es 0010 que produce un voltaje de salida de -0.25
V, El siguiente cdigo es 0011 que produce un voltaje de salida de -0.125 V + -0.25 V =
-0.375 V. Cada cdigo binario sucesivo incrementa el Voltaje en -0.125 V, la salida de
voltaje tiene para este ejemplo una forma de onda de escalera invertida que va de 0 V a
-1.875 V en pasos de -0.125 V su grafica se muestra en la figura 6-9.

Figura 6-9. Grafica del voltaje de salida para el circuito del ejemplo 6-6 ---.
6.2.3 Caractersticas de comportamiento para los convertidores D/A.
6.2.3.1 Factor de Multiplicacin
Como la salida de un DAC es directamente proporcional al VREF Para obtener un voltaje
de salida preciso entre V SALIDA y la entrada digital se requiere que el VREF sea fijo y
estable. Sin embargo supngase que VREF es una fuente de voltaje analgica cambiante.
En tal caso el voltaje de salida del DAC es proporcional al producto de una entrada
analgica y una entrada digital. Por lo tanto se puede usar el DAC como un amplificador o
atenuador controlado digitalmente, en el cual la ganancia ser determinada por la entrada
digital. En la referencia bibliogrfica (revista UPIICSA de tecnologa) se publica una
aplicacin muy interesante de esta cualidad de los DAC en el diseo de un sistema en el
que el dispositivo se usa como modulador de amplitud para transmitir de seales.
6.2.3.1

Resolucin

La resolucin de un DAC es el reciproco del nmero mximo de pasos discretos que se


pueden tener a la salida del DAC, es igual a 2 n- 1, donde n es el numero de bits de entrada.
Obviamente la resolucin depende del nmero de los bits de entrada.

Ejemplo 6-7
Determinar la resolucin de un DAC de 4 bits de entrada.
Solucin
Resolucin = 1 / (24 - 1 ) = 1 / 15 que tambin puede ser expresada en
porcentaje
como % de resolucin = (1/15)100 = 6.67%
6.2.3.2 Exactitud.
La exactitud es la comparacin de la salida real de DAC con la salida esperadas expresa
como un porcentaje del voltaje de salida a escala completa, o mximo voltaje de salida. Por
ejemplo si el convertidor tiene un voltaje de salida a escala completa de 10 V y la exactitud
es de 0.1 %, entonces el mximo error de cualquier voltaje de salida es igual a (10 V)
(0.001) = 10 mV. Idealmente, la exactitud deber ser, a lo ms, + o - del bit menos
significativo bms. Para un DAC de 8 bits , 1 bms es 1/256 = 0.0039 (0.39 %de la escala
completa ) . La exactitud debiera ser de + o 0.2 %.
6.2.3.3 Linealidad
El error por linealidad o mas propiamente por la no linealidad, es una medida de cmo la
linealidad de la salida analgica se relaciona con la entrada digital en todo el intervalo de
operacin. Es la desviacin de la recta esperada, como se muestra en la figura pag.
447 fig 15 12 libro copias.

Figura 6-10 (a) No linealidad de 1 bms con la ganancia ajustada para un error cero en la
escala completa.
Figura 6-10 (b) No linealidad de + o bms con la ganancia ajustada a un mnimo
error pico.
6.2.3.4 Linealidad diferencial
La no linealidad diferencial es una medida del cambio en la salida analgica por cada
cambio de 1 bit en la entrada digital. Idealmente, cada paso debe ser de la misma magnitud

en todo el intervalo de la operacin, pero debido a las imperfecciones del circuito, los
cambios reales no son iguales, lo que se ilustra en la figura 6-11.

Figura 6-11 No linealidad diferencial


6.2.3.5 Tiempo de estabilizacin.
El tiempo de estabilizacin (settling time) o conocida tambin como la velocidad a la que
opera, el es el tiempo que toma el DAC en alcanzar la estabilidad dentro de + o bms de
su valor final cuando un cambio ocurre en el cdigo de entrada. Los valores tpicos
se settling time se encuentran en el rango de 10 uS a 50 nS
6.2.3.6 Monotoneidad.
Un DAC es montono cuando la salida aumenta( o permanece constante) dada una entrada
creciente. En la figura 6-11se muestra un DAC no montono, en la que se muestra un
deterioro en el funcionamiento del DAC.

Figura 6-11. Grafica de salida para un DAC no montono


6.2.3.7 Voltaje de desajuste (Offset)
Tericamente la salida de un DAC debiera ser cero cuando todas las entradas binarias son
ceros. Sin embargo en la prctica esto no ocurre ya que siempre existen pequeos voltajes
de salida . Esto se conoce como error de desajuste (offset), el cual deber reajustarse por
medios externos en caso de as requerirse.

6.3 Diseo del DAC con microcontroladores


El diseo de un sistema con microcontroladores que requiera de la conversin de seal con
cdigo digital a seal analgica es muy simple de implementar pues los DAC requieren
solamente la seal de cdigo digital de entrada y dos o solamente una seal de control.
Primero se mostrara como se conecta un DAC cuando se requieren dos seales de control
CS y WR. En la figura 6-12.

figura 6-12 Diagrama a bloque de un convertidor D/A .


En la figura 6-12 se muestran los detalles de operacin de un convertidor digital a
analgico con entrada almacenada en registro de 8 bits. La entrada de 8 bits se pone en un
registro interno hasta que concluya la siguiente conversin. Los datos se guardan en el
registro cuando la ACTIVACION CS es alta; se dice que el almacn al registro es
transparente cuando la seal de ACTIVACIN C S es baja. El registro mantiene la seal
hasta que llegue otra que la reemplace. Cuando un CAD tiene un registro de entrada de
datos se puede conectar en forma directa con el bus de datos de un microprocesador y este
los considerar como una seal digital para la entrada de datos al CAD. Un CAD sin
registro de almacn de datos se debe de conectar a travs de un adaptador de interfase
perifrico

Figura 6-13 conexin de un DAC con un Microcontrolador en operacin


unipolar.

En la figura 6-13 se muestra como se debe de conectar un DAC con un microcontrolador


cuando es necesario que la salida sea un voltaje que vari entre cero y el voltaje de
referencia VREF , lo cual se denomina operacin unipolar. Si VREF = 5 v el voltaje de
salida de + 5 V cuando R1 es de 8 kohm y R2 = 8 Kohm; el rango es de +10V cuando R1 =
16 Kohm y R2 = 5.33 Kohm.
En la figura 6-14 se muestra el DAC AD7524 que es fabricado por Analog Devices y que
es compatible con microprocesadores de 8 bits tales como 6800, 8085, z 80 y con
microcontroladores como 68hc11, PIC 16c84, Intel 8051. a travs del uso de las seales de
control CS y WR. Los modos de operacin que se pueden tener se presentan en la tabla de
la figura pag 63 ramakan.

Figura 6-14 diagrama del DAC AD7524 fabricado por Analog Devices

Un DAC multiplicador es un DAC diseado para operar no solamente con un voltaje fijo de
referencia VREF sino tambin con una entrada analgica Vi en lugar de la entrada fija de
VREF; El CAD AD7524 puede ser configurado como se muestra en la figura 6-14.
Cambiando el circuito externo. La salida del DAC Multiplicador estar representada
por Vo = Vi X donde Vi es la seal de entrada y X es la fraccin asociada con la entrada
digital. Si se sume que tanto Vi como X pueden tener polaridad, a esta forma de operacin
se le llama de cuarto cuadrante. En contraste cuando Vi = Vref. es decir un Voltaje fijo se
llama operacin en segundo cuadrante.
6.4 Un DAC prctico
El CAD de Nacional Semiconductors AD0808.
El AD0808 es un convertidor digital a analgico de 8 bits el cual muestra dentro de sus
caractersticas principales un tiempo de asentamiento (settling time) de 150 nS con
una presicin de +0.19 %.. Este convertidor es de tipo DIP y posee 16 pines que se
distribuyen de la siguiente manera

Figura 6-15 Descripcin de pines del DAC 0808

Figura 6-16 Pines del DAC 0808

Figura 6-17 Caractersticas elctricas del DAC 0808

Este dispositivo AD0808 es muy sencillo de operar pues nicamente se debe de tener
cuidado en dos cosas; primero que los valores de entrada VIH y VIL para el 1 y 0
lgicos estn dentro de los valores especificados, y segundo que el voltaje de salida Vo este
debidamente acoplado en su impedancia de salida.
En la figura se muestra como se puede conectar un AD0808 de Nacional Semiconductors

Figura 6-18 Diagrama elctrico de la conexin del AD 0808


Descripcin del circuito
La salida de datos D0 a D7 del microprocesador o microcontrolador segn sea el caso, se
acopla directamente a las entradas A1 a A8 pines 5 a 12 del DAC 0808, conectando
el pin 20 Vcc a +5V y los Pines 1 DIR y 19 OE de acuerdo al modo de operacin que se
desee La salida del DAC pin 4 se acopla en impedancia a travs del AmOp LM 741, en este
circuito se usa una configuracin de amplificador inversor con ganancia ajustable, El
+VREF pin 14 se conecta a +5 V a travs de una resistencia de 4.7 kohms y el VREF pin
15 a tierra a travs tambin de una resistencia de 4.7 kohms, el -VEE pin 3 a -12 V y
finalmente la COMP pin 16 a travs de un capacitor de 0.1 uf a +5 V
Programa de control para el circuito
El programa de control nicamente requiere de poner en el puerto de salida D0 a D7 del
microcontrolador el cdigo de datos que se desea convertir a analgico.
6.5 Otros tipos de DACs

Otro tipo de DAC que se fabrica ms comnmente es el que se llama de redes escalonadas
resistivas R/2R. En la figura 6-19 se muestra un DAC de resistencia ponderada binaria con
6 bits y amplificador operacional como elemento sumador de corriente. Los conmutadores
muestran una entrada binaria de 101010. En este ejemplo el valor de la resistencia para
el bit bMs es de 5 k, mientras que el del bit bms es de 160 k. Debido a que el valor de las
resistencias cambia en un factor de 2 para cada bit, un DAC de alta resolucin tendra una
gran gama de diferentes valores de resistencias para el bMs y el bms. En tal DAC se
presentaran algunas dificultades. En primer lugar, sera difcil fabricar un conjunto de
resistencias de tan amplio intervalo de resistencias que no obstante, contara con razones
exactas y que no alteren su valor con los cambios de temperatura. Segundo, las corrientes
en las posiciones cercanas al bms seran muy bajas debido a las altas resistencias. Tales
corrientes bajas Hacen muy sensible al DAC a corrientes de ruido que pudieran ser de la
misma magnitud.

Figura 6-19 Diagrama de un DAC de resistencia ponderada binaria con 6 bits de resolucin

Figura 6-20 DAC en escalera R/2R

Otro mtodo para realizar la conversin D/A es utilizar la red escalonada R/2R, como se
muestra en la
Figura 6-20 para el caso de 4 bits, este
mtodo resuelve uno de los problemas del DAC con ponderacin binaria pues solo requiere
dos valores de resistencias. Asumiendo que la entrada D, esta a nivel alto (+5V) y las
dems a nivel BAJO (tierra, 0 V) esta condicin representa el cdigo binario 1000 y
haciendo un anlisis del circuito se puede observar que cada entrada de menor peso
sucesiva produce una tensin de salida que es la mitad de la anterior, por lo que la tensin
de salida es proporcional al peso binario de los bits de entrada. El DAC AD 0808 mostrado
en el punto anterior es un ejemplo de un DAC en escalera R/2R .
6.6 Convertidor Analgico / Digital ADC.
La conversin analgica a digital es el proceso por el cual una seal analgica se convierte
a cdigo digital. La conversin A/D es necesaria cuando se requiere expresar una magnitud
analgica producto de una medicin en un cdigo digital para poder ser procesada en una
computadora, presentarla en un display o almacenarla en un archivo digital.
A continuacin se analizaran varios tipos de convertidores analgico / digitales
6.7 Parmetros de rendimiento del ADC
Entre las caractersticas de los convertidores A/D destacan las siguientes:
6.7.1 Resolucin
La resolucin de un ADC es el ms pequeo paso digital con el cual es posible aproximar el
voltaje analgico de entrada.
Ejemplo 6-8
El voltaje de entrada de escala completa de un ADC de 8 bits es +5 V. Calcular el menor
paso de voltaje analgico que puede reconocer el convertidor.
Solucin . Ocho bits representan 28= 256 pasos. Un paso en un voltaje de entrada de escala
completa de 5 V representa 5 / 256 V = 19.53 mV
6.7.2 Tiempo de Conversin
La conversin de un valor sobre una forma de onda analgica a una cantidad digital, no es
instantnea, esta requiere de un tiempo de conversin que va de algunos uS para las
conversiones ms rpidas a mSpara los dispositivos ms lentos. Este concepto se ilustra en
la figura 6-21

Figura 6-21 tiempo de conversin A / D


6.8 Circuitos componentes de los ADC.
En esta seccin se analizaran dos circuitos analgicos que se usan frecuentemente en los
ADC. El comparador de voltaje y el circuito de muestreo y retencin.
6.8.1 Circuito comparador de voltaje
Los comparadores de voltaje analgicos son circuitos que comparan dos seales VA y VB
de manera que si VA > VB entonces la salida es BAJO o de 0 V y para el caso de que VA <
VB entonces la salida es ALTO o mayor de +3V. El tiempo de respuesta de un comparador
de voltaje analgico es el tiempo entre la aplicacin de un voltaje de entrada y el instante en
que el voltaje de salida cruza el voltaje critico lgico.
Fig. comparador de voltaje analgico
Fig. tiempos de respuesta de circuitos comparadores de voltaje

Figura 6-22. Comparador de voltaje analgico


(a) Smbolo elctrico
(b) Voltaje de salida como funcin del voltaje de entrada
(c) Voltaje de salida como funcin del voltaje de entrada con
histresis

6.8.2 Circuitos de muestreo y retencin (Sample and Hold).


En los ADC estos circuitos se utilizan para muestrear durante un breve intervalo de
el valor dependiente del tiempo de un voltaje analgico de entrada y luego retener constante
el valor muestreado durante la conversin. En la figura se muestra un diagrama
simplificado de un circuito de muestreo y retencin. La necesidad de muestrear una seal
analgica surge del tiempo de conversin diferente de cero de un ADC; si durante la
conversin la seal de entrada analgica ,cambia ms que la resolucin del ADC , la salida
digital de este pudiera no ser representativa de su entrada analgica.

Figura 6-23. Diagrama simplificado de un circuito de muestreo y retencin

6.9 Tipos de convertidores Analgico / Digitales.


6.9.1 Convertidor Analgico/Digital Flash o Paralelo
El mtodo flash utiliza comparadores que comparan una serie de tensiones de referencia
con la tensin de entrada analgica. Cuando la tensin analgica sobrepasa a la tensin de
referencia de un comparador determinado, se genera un nivel Altota figura 6-24

Figura 6-24 Convertidor A/D tipo Flash de 8 bits que usa 7


convertidores
La figura 6-24 muestra un convertidor de 8 bits que usa 7 convertidores, no se requiere convertidor
para el caso en que todas las comparaciones sean cero En general se requieren 2n-1 comparadores
para la conversin a un cdigo binario de n bits. La salida de cada convertidor se aplica un circuito
codificador de prioridad, en el cual el cdigo binario queda determinado por la entrada de mayor
orden que se encuentre a nivel alto. Referencia bibliografica . floyd Su principal ventaja de este
comparador es su alta velocidad de muestreo que se puede alcanzar, aunque presenta la desventaja
de que se necesitan muchos comparadores para un ADC de un nmero binario de tamao
razonable. La velocidad de muestreo determina la precisin con la que la secuencia de cdigos
digitales representa la entrada analgica del ADC. Cuando ms muestras se toman en una unidad
de tiempo, ms precisa es la seal digital que representa a la seal analgica.
Aqu cabe una pregunta: Con que velocidad se debe muestrear una seal analgica, es
decir cuantas veces por unidad de tiempo es lo mnimo con que se requiere muestrear una
seal analgica
? La
teora
propuesta
por Nyquist Referencia bibliografica Operational Amplifiers Floyd establece que una seal de

entrada variante con el tiempo puede reproducirse con fidelidad si la velocidad de muestreo es por
lo menos dos veces tan alta como la mayor componente de la frecuencia de la seal.

Ejemplo 6 10 Ilustracin de varios muestreos por ciclo

La mayor componente de la frecuencia de una seal analgica es de 3500 Hz. Determina la


mnima velocidad de muestreo.
Solucin: La mnima velocidad de muestreo requerida es 2 X 3500 = 7000 muestras por
segundo.
6.9.2

ADC de aproximaciones sucesivas.

Este tipo de convertidor es el que sigue en menor tiempo de conversin al convertidor


con mtodo Flash. La figura 6-25 muestra el diagrama a bloques bsico de un convertidor
ADC por aproximaciones sucesivas de 4 bits

Figura 6-25 Diagrama a bloques bsico de un convertidor ADC por aproximaciones


sucesivas de 4 bits
Descripcin del circuito
Esta formado por un DAC, un registro de aproximaciones sucesivas (SAR, successiveaproximation r+egister) y un comparador. Los bits de entrada al DAC se habilitan a ALTO,
de uno en uno sucesivamente. Comenzando por el bit ms significativo (bMs). Cada vez
que se habilita un bit, el comparador produce una salida que indica si la tensin analgica
de entrada es mayor o menor que la salida del DAC. Si la salida del DAC es mayor que la
entrada analgica, la salida del comparador esta a nivel BAJO, haciendo que el bit en el
registro pase a cero. Si la salida es menor que la entrada analgica el bit1 se mantiene en el
registro. El sistema realizara esta operacin primero con em bMs, luego con el
siguiente bit ms significativo, despus con el siguiente, y as sucesivamente. Despus de
que todos los bits del DAC hayan sido aplicados, el ciclo de conversin esta completo.
Referencia floyd.
6.9.3 ADC de contador de rampa en escalera.
El mtodo de rampa en escalera para la conversin A/D se conoce tambin como mtodo de
Rampa digital o mtodo contador. Se emplea un DAC y un contador binario para generar el
valor digital correspondiente a una entrada analgica. La figura 6-26 presenta un diagrama
de este tipo de convertidor.

Figura 6-26 Convertidor A/D tipo rampa de 8 bits

El mtodo de rampa en escalera es ms lento que el mtodo flash porque, en caso de


entrada mxima, el contador debe pasar a travs del nmero mximo de estados antes de
realizar la conversin. Para una conversin de 8 bits, esto significa un mximo de 256
estados y cado estado consume un cierto tiempo La figura 6-27 ilustra una secuencia de
conversin con 4 bits. Obsrvese que, para cada muestra, el contador debe contar desde
cero hasta el escaln en el que la tensin de referencia alcanza a la tensin de entrada
analgica. El tiempo de conversin es variable, en funcin de la tensin analgica.

La figura 6-27 ilustra una secuencia de conversin con 4 bits


6.9.4 ADC de rastreo o seguimiento
El mtodo de seguimiento utiliza un contador ascendente / descendente y es ms rpido que
el mtodo de rampa digital, porque el contador no se pone a cero despus de cada muestreo
sino que sigue a la entrada analgica La figura 6-28 muestra un tpico ADC de seguimiento
de 8 bits.

figura 6-28 Tpico ADC de seguimiento de 8 bits.


La salida del comparador se aplica al modo del control del contador, cuando esta
BAJO ( 0 lgico), hace que el contador cuente progresivamente, y , recprocamente, cuando
esta ALTO (1 lgico), hace que el contador cuente en forma descendente. En la figura 6-29
se muestra que cuando el ADC de rastreo a alcanzado el intervalo idneo, entonces el
convertidor puede seguir de forma continua el voltaje analgico. La rapidez de rastreo no es
ningn problema a medida que las variaciones de la entrada sean relativamente lentas.
A fin de reducir el error inicial de las primeras conversiones suele fijarse el contador a
media escala, es decir, en 100000.

Figura 6-29 ADC de seguimiento


6.9.5. ADC de pendiente simple
A diferencia de los mtodos de rampa en escalera y seguimiento, el convertidor de
pendiente simple no requiere un DAC. Se utiliza un generador de rampa lineal para generar
una tensin de referencia de pendiente constante. En la figura 6-30 se muestra el diagrama
del ADC de pendiente simple. Al comienzo del ciclo de conversin, el contador esta en
estado RESET y la salida del generador de rampa es 0 V. En esta situacin, la entrada
analgica es mayor que la tensin de referencia y, por tanto , se produce un nivel ALTO en
la salida del comparador. Este nivel alto habilita la seal del reloj para el contador y arranca
el generador de rampa

Figura 6-30 Diagrama del ADC de pendiente simple

6.9.6 ADC de doble pendiente


El funcionamiento del ADC de doble pendiente es similar al de pendiente simple, excepto
en que se utiliza una rampa de pendiente variable y otra de pendiente fija. Este tipo de
convertidor se utiliza comnmente en voltmetros digitales y otros tipos de instrumentos de
medida.
Se utiliza un generador de rampa (integrador), A1, para generar las caracterstica de
pendiente doble. En la figura 6-31 se presenta el esquema de un ADC de pendiente doble
como referencia.

Figura 6-31 Esquema de un ADC de pendiente doble como referencia.


6.10 Un ADC prctico.
6.10.1 En la figura 6-32 se presenta el diagrama de conexin del DAC TSC 7116
de Teledyne Semiconductors, en el que ya estn todas sus componentes integradas para
hacer la conversin A/D y proporcionar la salida de datos en cdigo de 7 segmentos para
operar directamente un display de 3 LEDs, que es muy utilizado en aplicaciones de
instrumentos que requieren exhibir datos en un display tales como medidores de RPM,
corriente de armadura, medidores de voltaje, es decir cualquier entrada analgica.

figura 6-32 Diagrama de conexin del DAC TSC 7116


6.10.2 El ADC 0804 es un ejemplo de A/D por aproximaciones sucesivas. En la Figura 632 se presenta el diagrama a bloques este dispositivo funciona con una alimentacin de +5
V y tiene una resolucin de 8 bits, con un tiempo de conversin de 100 uS tambin
garantiza la caracterstica de monotonicidad y dispone de un generador de reloj interno. La
salida de datos triestado sirven para realizar las comunicaciones internas con el sistema de
buses de un microprocesador.

Figura 6-32 Convertidor analgico digital ADC0804


6-10.3

El ADC 0809

Este convertidor es un dispositivo monoltico de tecnologa CMOS con un multiplexor de 8


canales, un convertidor A/D de 8 bits y un control lgico compatible con microprocesador.
El multiplexor de 8 canales se controla por medio de un decodificador de direcciones de 3
bits para poder seleccionar cualquiera de los 8 canales de manera individual conectando de
manera directa al convertidor A/D el canal deseado.
Este tipo de convertidor se caracteriza por poseer un divisor de voltaje de 256 R con un
bloque de conmutadores analgicos y un registro de aproximaciones sucesivas. La
versatilidad de este dispositivo hace que se elimine la necesidad de utilizar arreglos de
circuitos para ajustar el cero externo y la escala completa. Ofrece alta velocidad, alta
precisin, dependencia mnima a los cambios de temperatura as como un mnimo consumo
de potencia.
El encapsulado es tipo DIP y tiene 28 pines que se describen en la siguiente figura 6-33

Figura 6-33 Descripcin de pines del ADC0809


En la figura 6-34 se muestra en diagrama como se pude conectar el ADC 0809 a un
microprocesador a travs de una Interfase Perifrica Programable PPI (por sus siglas en
ingles), de Intel 8255 .

Figura 6-34 Diagrama de conexin del adc0809 a un bus de PC


Descripcin del circuito
El circuito consta de un Tranceptor de bus de 8 canales el 74LS245, el cual se usa como
buffer para el PPI 8255, el que a su ves se usa como interfase y proporciona una
salida multiplexada de 3 puertos de I/O entre el bus de de la PC y el Convertidor ADC
0809. El circuito 74lS138 se utiliza como decodificador de direcciones. El ADC se conecta
al puerto A del PPI y sus seales de control al puerto C. En la siguiente unidad VII se da un
ejemplo de cmo puede ser el programa para la operacin de una tarjeta de conversin A/D
diseada y construida en base a este diagrama.
6.11 Diseo de un ADC con microcontroladores.

El termino Microcontrolador se usa para describir un sistema encapsulado en un chip de


silicn que incluye un mnimo de partes para su funcionamiento autnomo. Como pueden
ser , la unidad de procesamiento central CPU, la unidad de control CU, memoria de
programa, memoria de datos, y puertos de entrada/salida I/O. algunos microcontroladores
incluyen en forma adicional componentes tales como atemorizadores, contadores,
convertidores analgico/digitales .
En esta seccin vamos a considerar los microcontroladores que incluyen convertidores A/D.
6.11.1 Arquitectura de microcontroladores.
Bsicamente,
existen
dos
tipos
de
arquitecturas
en microcontroladores( referencia bibliografica microcontroladores PIC): Arquitectura
de Von Neumann y Arquitectura Hardvard. La arquitectura de de VonNeumann se
caracteriza porque la CPU se conecta con una memoria nica, donde existen datos e
instrucciones, se comunica a travs de un sistema de buses ver figura 6-35.

Figura

6-35

Esquema

de

la

arquitectura

de

<< Von Neuman >>


En la arquitectura Harvard son independientes la memoria de instrucciones y la memoria de
datos y cada una dispone de su propio sistema de buses ver figura 6-36. Esta dualidad
adems de propiciar el paralelismo, permite la adecuacin del tamao de las palabras y los
buses a los requerimientos especficos de las instrucciones y de los datos. Tambin la
capacidad de cada memoria puede ser diferente. Actualmente se alcanza un alto
rendimiento y elevada velocidad, en los actuales procesadores debido a la conjuncin de
tres tecnicas : Arquitectura Harvard. Arquitectura RISC, y Segmentacin o pipe line. Los
microcontroladores PIC son un buen ejemplo de estos procesadores.

Figura 6-36 Esquema de la arquitectura << Hardvard >>

6.11.3 Microcontroladores PIC.


En el mercado existen varios tipos de microcontroladores y con caractersticas diferentes
que dependen principalmente de los fabricantes como son entre otros: Motorola, Intel,
Nacional, Texas Instruyen, Microchip.
Las
familias
de
microcontroladores
PIC
es
desarrollada
por
Microchip Technology Inc. ( http://www.microchip.com)Y tiene ventas de estas familias de
PIC del orden de 120 millones de dispositivos al ao.
Entre todos estos fabricantes es muy difcil escoger el mejor microcontrolador. En
realidad no existe, porque en cada aplicacin son sus caractersticas especificas las que
determinan el ms conveniente. La popularidad de los PICs se debe entre otras razones a su
sencillez de manejo, buena informacin disponible, precio, buen promedio de parmetros
entre otros, velocidad, consumo de potencia, alimentacin cdigo compacto, etc. As como
herramientas de desarrollo fciles y baratas adems de permitir un diseo rpido.
Bsicamente todos los PICs ofrecen las siguientes caractersticas

Conjunto con alrededor de 35 de instrucciones RISC.

Puertos digitales I/O

Circuito de reloj

Temporizadores

Perro guardin (watchdog)

Sistema de proteccin ante fallos de la alimentacin.

Estado de reposo.

Reinicio (Reset)

Modos de direccin, directo indirecto y relativo.

Interfase para reloj externa

Memoria de datos RAM

Memoria de programa EPROM

Canales de entrada analgica

Comparadores analgicos

Microchip dispone de cuatro familias de microcontroladores PIC.


Familia pequea PIC12C(F)XXX
Son de bajo costo, La letra C designa memoria de programa EPROM, La letra F designa
memoria de programa tipo FLASH, encapsulado de 8 pines, trabajan a 2.5 a 5 V y 4 MHz.
Tienen un repertorio de 32 a 35 instrucciones. En la figura 6-37 se muestra un diagrama de
este PIC.

Figura 6-37 digrama de conexiones de los PIC12CXXX


Y en la figura 6-38 Una tabla con las principales caractersticas de esta familia

Figura 6-38 Una tabla con las principales caractersticas de la familia PIC12CXXX

Familia baja o Bsica PIC16C(F)XXX.


Es una familia de bajo costo pero con prestaciones limitadas, en encapsulado con 18 a 28
pines.
En la figura 2.4 FED se muestra un PIC ejemplo de esta familia

Figura 6-37 Diagrama de conexiones de los PIC16CXXX

Figura 6-39 Tabla con las principales caractersticas de la familia PIC16CXXX

Familia media PIC16C8F9XXX


Es la familia ms variada y completa de PICs. Abarca modelos con 18 hasta 64 pines, esta
el ms popular de los PIC el 16C(F)84
En la figura 6-40 se muestra el diagrama del PIC16C74

Figura 6-40 Esquema del PIC16C74


En la figura 6-41 se Muestra una tabla con las principales caractersticas de la familia
media.

Figura 6-41 Tabla con las principales caractersticas de la familia PIC16CXXX

Familia alta PIC17CXXX


Se alcanzan las 58 instrucciones, y quizs la caracterstica ms destaca es la posibilidad de
ampliar el microcontrolador con elementos externos, pues este microcontrolador saca por

sus pines las lneas de los buses de datos, direcciones y control, a las que se conectan
memorias o controladores de perifricos. Figura 6-42 se Muestra una tabla con las
principales caractersticas de la familia alta

Figura 6-42 Tabla con las principales caractersticas de la familia PIC17Cxxx.


6.11.4 Herramientas para el desarrollo de proyectos.
El desarrollo de proyectos con PIC requiere de varias herramientas que dependen del tipo
de aplicacin y microcontrolador a usar. En general las siguientes herramientas son
apropiadas:

Un lenguaje ensamblador o un lenguaje de alto nivel especficos

Un dispositivo programador de PIC, existen muchos en el mercado uno de ellos


vende directamente la empresa Microchip (www.microchip.com) que es econmico y muy
completo en lo que a informacin se refiere.

Un borrador de EPROM

Una PC para el desarrollo de los programas, La velocidad del CPU no es


importante para su empleo en este propsito

Una tablilla para conexiones

Y dependiendo de la aplicacin, resistores, capacitares, LEDs, displays, y otros


componentes.
Si bien es cierto, usar lenguaje ensamblador para la programacin de PICs, representa un
considerable ahorro de cdigo en la confeccin de los programas, lo que es muy importante
dada la estricta limitacin de la capacidad de la memoria de instrucciones. Y que los
programas bien realizados en lenguaje ensamblador optimizan el tamao de la memoria que
ocupan y su ejecucin es muy rpida. Pero su principal desventaja es que cada
microcontrolador tiene su propio ensamblador y hacer programas en este lenguaje es muy
complejo y frecuentemente difcil de darles mantenimiento. Existen en el mercado
Lenguajes de alto nivel desarrollados especficamente para la programacin de las familias
de PICs. En esta seccin se usara uno de los compiladores en C desarrollados para este

propsito,
el
compilador
conocido
como
FED
C;
desarrollado
por Forest Electronic Developments (www.fored.co.uk y con e-mail: info@fored.co.uk).
Compilador FED C
Algunas de las razones para escoger este compilador son

El compilador FED C es dedicado y muy eficiente para desarrollar programas de


todas las series PIC 16XXX y 12XXX.

Editor integrado con ayudas para corregir sintaxis.

Incluye un simulador.

Un gran numero de funciones de librera.

Soporta aritmtica de punto flotante.

Disponibilidad de un gran numero de funciones matemticas.

Soporte directo para LCD.

Informacin y tutrales muy completos en la pagina web.

Y sobre todo est fcilmente disponible y a bajo costo.


El ciclo de desarrollo de programas para PICs es relativamente sencillo. Los programas se
editan con una PC. El cdigo puede ser simulado y corregido antes de ser cargado en la
memoria del PIC que depender del tipo de memoria, ya sea Flash o EPROM, que tenga el
microcontrolador a usar. Las variables, instrucciones, etc. se usan en forma similar a los
lenguajes de programacin tradicionales como Turbo C o Microsoft C. Se recomienda
estudiar
detalladamente
el tutorial Learn to Use
C Whit the Forest Electronic Developments PIC C Compiler que se puede obtener en
forma gratuita en la direccin http://www.fored.co.uk
Estructura de un programa basado en el compilador FED C para microcontroladores.
La estructura de un programa desarrollado con este compilador es bsicamente la misma
estructura de un programa C estndar, con algunos cambios menores. La estructura tpica
para el programa de un microcontrolador PIC se muestra a continuacin.
/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
**
*
*
*
PROYECTO:
Darle nombr al proyecto
*
ARCHIVO:
Darle nombre al archivo con la extensin .C
*
FECHA:
Indicar la fecha
*
PROCESADOR:
Indicar el tipo de PIC a usar
*
COMPILADOR:
Compilador a usar
*
*

*
Describir lo que el programa hace
*
*
*************************************************
***
#include <P16f84.h>
#include..
int i , j , .
char x, ..
void func( )
{
// comentarios

.
}
void main ( )
{
// comentarios.

..
..
}

// incluir enunciados
// variables globales
// uso de funciones

// programa principal

Ejemplo 6 - 11
Escribir un programa con FED C que prenda y apague un LED conectado al bit 0 del
puerto B de un PIC16F84 . Deber mantenerse un segundo en cada estado de salida.
Solucion.
El diagrama del circuito para este ejemplo se muestra en la figura 6-43. El reloj se genera
usando un cristal de 4Mhz. Un LED se conecta al bit 0 del puerto B a travs de una
resistencia de 470 ohm, como limitadora de corriente.

Figura 6-43 Diagrama para el ejemplo 6-11


Los pines del puerto B se configuran como salida con la instruccin TRIB 0 0.Un loop sin
final es formada con el enunciado de control while y el LED es apagado y encendido
dentro de este loop . El enunciado de espera wait se usa para generar un tiempo de espera
de un segundo entre cada salida. El listado del programa se muestra a continuacin:
/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
**
*
*
*
PROYECTO:
Proyecto 1
*
ARCHIVO:
proye1.C
*
FECHA:
enero 2003
*
PROCESADOR:
PIC16F84
*
COMPILADOR:
FED C
*
*
*
Este programa enciende (ON) y apaga (OFF) un LED conectado al bit 0 del
puerto B del microcontrolador. Un retrazo de 1 S es insertado entre cada salida.
*
*
*************************************************
***
#include <P16f84.h>

#include <delays.h>..

void main ( )
{
TRISB=0;
while(1)
{
PB.B0=0 ;
Wait(1000) ;
PB.B0=1;
Wait(1000) ;
}
}

// programa principal
//pone los pines del puerto B como salida
// loop sin fin
// pone el bit 0 del puerto B OFF
//retrazo de 1000 mS
// pone el bit 0 del puerto B ON
// retrazo 1000 mS

Los microcontroladores tipo PIC pueden ser directamente conectados a travs de sus
puertos de salida ya sea con una PC con algn display LCD segn se requiera; ya sea
almacenar los datos adquiridos para su posterior anlisis y procesamiento, y/o exhibir el
resultado directamente en un display LCD. Para este propsito se puede usar un diseo
basado en el siguiente diagrama de bloques, en el que se muestra un sistema para la
medicin de temperatura con termopar tipo K .

Figura 6- 44 diagrama de bloques, en el que se muestra un sistema para


la medicin de temperatura con termopar tipo K .
Los display LCD son muy empleados en los proyectos con PICs para exhibir
inmediatamente los resultados obtenidos por el microcontrolador en el ejemplo 612. Siguente se ilustra como se puede hacer una interface simple entre el PIC y
el display LCD
Ejemplo 6 -12

Asumir que se usar un PIC 16F84 como base de un proyecto, y que se desea enviar
mensajes tipo texto a un display marca HITACHI modelo HD44780 tipo LCD. Conectar
el display al puerto B del microcontrolador y desarrollar un programa en C para enviar el
mensaje PIC LCD a la lnea 1 del LCD.
Solucin.
El diagrama del circuito para este proyecto se muestra en la figura 6-46, el LCD se conecta
al PIC de acuerdo con su configuracin que se muestra en la tabla de la figura 6-45. El reloj
se proporciona con un cristal de cuarzo de 4 MHz . Un potenciometro de 20 k se usa para
ajustar el contraste en el LCD.

Figura 6-45 Tabla de configuracin del modulo LCD HD44780

Figura 6-48 Diagrama elctrico para el ejemplo 6-12


En la siguiente descripcin del programa (DDP), se describe la s funciones que se
realizaran
BEGIN
Inicializa LCD
Limpia LCD pone cursor en posicin home
Se envia el texto PIC LCD al LCD
END

Listado del programa para el ejemplo 6-12, elaborado con el compilador FED C

/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
*
*
*
PROYECTO:
Proyecto 2
*
ARCHIVO:
proye2.C
*
FECHA:
enero 2003
*
PROCESADOR:
PIC16F84
*
COMPILADOR:
FED C
*
*

*
Este programa enva el mensaje de texto PIC LCD a la linea 1 de un display
*LCD conectado al puerto B de un PIC16F84.
*
*
*************************************************
#include <P16f84.h>
#include <displays.h>..
const int LCDPORT=&PORTB;

//define el puerto B como puerto LCD

void main ( )
{
LCD(-1) ;
LCD(257) ;
LCDString (*PIC LCD*) ;

// programa principal

while(1)
{
}

//Inicializa LCD en lnea 1


//Limpia cursor y lo manda a home
//Envia texto a LCD
// loop sin fin, espera por siempre

El encabezado P16F84.h define los registros y puertos del PIC16F84 . El encabezado


displays.hdefine los parmetros para la configuracin del LCD. El programa define que
el LCD esta conectado al puerto B del micro. El LCD es inicializado, limpiado
el display y el cursor posicinado en home en la lnea 1. El mensaje de texto PIC LCD es
enviado al LCD usndo el comando LCDString. Finalmente, un loop sin fin hace que el
programa espere permanentemente.
EJEMPLO 6 12 PROYECTO
Como una muestra de la potencialidad y comodidad de uso del compilador FED C se
presenta el siguiente proyecto:
Disear un sistema completo para medir temperatura usando un termistor
como sensor . Hacer los clculos para determinar la temperatura en Celsius y presentar
los resultados en un display LCD cada 1 segundo.
Especificaciones para el sistema
Temperatura de medicin
Error de auto calentamiento
Tipo de display
Formato del display
Frecuencia de lectura en el Display
Sistema

0 C a +100 C
menor que 0.5 C
LCD
nn.m C
1 lectura cada segundo
Basado en Microcontrolador

Diseo del sistema


Existen en el mercado muchos termistores que puden ser seleccionados que satisfacen las
especificaciones. El termistor que se determino para este diseo es el KED103BY,
fabricado por Bbowthorpethermistors. Este dispositivo es tipo miniatura y tiene las
siguientes caractersticas
R25 = 10 K
B = 4400
dTH = 9 mW /C

Figura
el termistor KED103BY

6-49

grafica

temperatura

resistencia

Se asume que se usa una fuente constante de voltaje para el circuito del termistor.
De la grafica de relacin temperatura resistencia de este termistor se obtiene
A 0 C la resistencia de RT = 40 K
A 100 C La RT = 500 ohm
Disipacin por autocalentamiento

para

Si se asume que un mximo de 100uA circulan por el termistor, la mxima potencia de


disipacin dentro del rango de operacin ser:
P = I2R

esto es

P = (100 x 10-6 )2 x 40 x 103 = 0.4 mW

Con una constante de disipacin de 9 mW / C, el mximo autocalentamiento estar


alrededor de 0.4/9 = 0.04 C que esta dentro de lo que se pide en las especificaciones.
A =C el votaje a travs del termistor es:
100 x 10-6 x 40 x 103 = 4V
entonces el valor de RS = ( 5 4) / (100 x 10-6) = 10K

a 100 C, RT = 500 ohm y asumiendo una fuente constante de voltaje de +5, el voltaje
a traves del termistor es:

VT = VS ( RT / ( RS + RT) )
esto es, VT = 5 ( (0.5 / (0.5 +40) ) = 0.0617 que es el
mnimo voltaje a travs del termistor.
Si se usa un convertidor A / D de 8 bit con una fuente de 5 V entonces un 1 mbs = 5000 /
256 = 19.6mV. que supera fcilmente el voltaje mnimo requerido por el termistor.
Diagrama del circuito propuesto:
El diagrama a bloque del circuito propuesto para este proyecto se muestra en la figura 650 . Se usara un microcontrolador PIC 16f877.

Figura 6-50 Diagrama a bloques de un medidor de temperatura


con termistor
5.11 FED
En la figura 6.50 se muestra el diagrama completo del circuito. El termistor se conecta a
una fuente de voltaje constante y su salida directamente a la entrada AN 0 del PIC. Al
puerto B se conecta e l LCD en el que se mostrara la temperatura cada segundo en C

Figura 6-51 Diagrama elctrico de un medidor de temperatura


con termistor
Descripcin de la operacin del circuito
El voltaje a travs del termistor es convertido a cdigo digital y la resistencia del termistor
(RT)| en funcin de de VT y VS es:
RT = (VT x RS) / (VS VT) donde VT es el voltaje a travs del termistor , VS = 5 V, y RS =
10K. Entonces:
RT = (104 x VT) / (5 VT) ohm
La temperatura del termistor en funcin de B es:
TT = 1 / (1/B Ln(RT/R25) +1/T25
Donde TT es la temperatura medida en (K), R T es la resistencia del termistor (ohm), B =
4400, T25 = 298.15 K, y R25 es 10 K.
Entonces:
TT = 1 / (1/4400 Ln(RT/10 000) + 1/298.15) esta temperatura expresada en C es :
TT = 1 /(2.272 x 10-4)Ln(0.0001RT) + 3.354 x10-3 ) 273.15
Esta ecuacin se pude usar para calcular TT, puesto que RT esta determinada en funcin de
VT.

En la siguiente DDP se describe la operacin del programa:


BEGIN
Inicializa el LCD
Inicializa el microcontrolador
DO FOREVER
READ el voltaje del termistor y convierte a cdigo digital
Calcula la resistencia RT
Calcula la temperatura TT
Muestra la temperatura en C en LCD
Espera por 1 segundo
ENDDO
END
Listado del programa
El listado completo del programa se muestra en seguida. Se usan las variables de punto
flotante tv y tr para el voltaje del termistor y su resistencia respectivamente. Despus de
inicializar el LCD y el microcontrolador, para que en todo momento se lleve a cabo
la convercin A/D para la medicin de la temperatura se forma un LOOP sin fin. El
convertidor es de 10 bit . Los 2 bit superiores de la conversin son almacenados en el PIC
en el registro ADRESH. Los restantes 8 bit en el registro ADRESHLL. El voltaje del
termistor tv se calcula multiplicando ADRESHH por 256 y sumndole ADRESHL. El
voltaje se convertido a milivolts multiplicandolo por el factor de escala
5000/1054 ( 5000 mV a escala completa en 10 bit). La resistencia del termistor trse calcula
con la ecuacin anteriormente definida para RT. Finalmente la temperatura medida se
calcula usando la ecuacin tambin definida anteriormente para TT almacenando el
resultado en la variable temp que es de punto flotante. Esta variable se configura con dos
y un dgitos para antes y despus del punto decimal (nn.m) y se convierte a una cadena
callada temperatura. El carcter C es adicionado al final de la cadena, mostrndose en el
LCD el resultado final obtenido de temperatura cada segundo.
Listado completo para el medidor de temperatura con termistor
/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
* *
*
*
*
PROYECTO:
Proyecto 3
*
ARCHIVO:
proye3.C
*
FECHA:
enero 2003
*
PROCESADOR:
PIC16F877
*
COMPILADOR:
FED C
*
*

* En este proyecto se mide la temperatura con un termistor y se muestra en un LCD cada


* segundo con el formato nn . m C.
*
*
*************************************************
#include <P16f877.h>
#include <displays.h>
#include <delays.h>
#include <strings.h>
#include <maths.h>

const int LCDPORT=&PORTB;


const float LSB = 5000/1024;

//define el puerto B como puerto LCD


// LSB en mV

void main ( )
{
float tv, tr, temp, y;
int msd, lsd;
char temperatura[6];
/* Inicializando el LCD

// programa principal

LCD(-1) ;
LCD(257) ;
/*

*/
//Inicializa LCD en lnea 1
//Limpia cursor y lo manda a home

Inicializando el microcontrolador

ADCON1 = 0x80 ;
ADCON0 = 0 ;
ADCON0 = 0x41 ;
while(1)

*/

//pone los 6 bMs a cero


// se selecciona a AN0 como entrada
//habilita el oscilador del A/D
//DO FOREVER

{
/* Inicia la conversin A/D */
ADCON0 = 0x45 ;
// inicia la conversin A/D
while(ADCON0 & 1 == 0) ;
// espera la conversin
tv = 256*ADRESH + ADRESHL ; // Captura el voltaje del termistor
tv=tv*LSB ;
// escala del voltaje del termistor
/* Calculo de la resistencia del termistor tr */

tr = 5.0*tv*10000.0/(5.0-tv) ;
/* calculo de la temperatura temp */
y = log (0.0001*tr) ;
y = 3.354*1e-3+y*2.272*1e-4 ;
temp = 1.0/y ;
/* Formato para el display LCD */
LCD(257) ;
//Limpia LCD y pone cursor en home
msd=temp ;
//digito msd
lsd=10.0*(temp-lsd) ;
// digito lsd
iPrtSring(temperatura,msd) ;
//msd

/*

Inserta . , digito lsd, y el character C */

temperatura[2] = . ;
cPrtString(temperatura+3) ;
temperatura[4] = ;
temperatura[5] = C ;
/* Muestra la temperatura como nn.m C
LCDString(temperatura) ;
/* Una segunda espera de un segundo */
wait(1000) ;
}
}

Ejercicios

//ENDDO

*/

1.- Explicar las diferencias entre un microprocesador y un microcontrolador


2.-explique la diferencia entre memoria EPROM y memoria Flash EEPROM
. Que memoria recomienda para almacenar datos no-volatiles
3.-Escriba un programa en C para un microcontrolador PIC 16F84 que lea el bit 2 del
puerto By envie este dato a un led conetado en el bit 0 del mismo puerto.
4.- ( LEDs se conectan al puerto B del microcontrolador PIC16F84, con sus resistencias
limitadoras apropiadas. Escriba un programa que cuente en binario desde 0 a 255 y que la
cuenta se muestre en losLEDs. Inserte un retraso de 500ms entre cada cuenta de salida.
5.-Un display de cristal liquido LCD modelo HD44780 de Hitachi tipo coneccin paralelo
se conecta a un microcontrolador PIC16F84. Escriba un programa que cuente de 0 a 255 y
que envie la cuenta al display LCD. Incerte un retrazo de 800ms entre cada cuenta.
6.- Lleve a cabo el proyecto presentado en el ejemplo 6 -12 para medir temperatura con
un sensor de thermistor, Microcontrolador PIC16f84 y el display LCD.

BIBLIOGRAFIA
1.-MICROCONTROLLER BASED TEMPERATURE MONITORING AND
CONTROL Dogan Ibrain
Editorial Newnes 2002
2.- ANALOG AND DIGITAL CONTROL SYSTEMS Gayakwod, Ramakant editorial Prentice
Hall 1988
2.- BASIC OPERATIONAL AMPLIFIERS AN LINEAR INTEGRATED CIRCUITS Floyd,
Thomas L. Editorial Merrill Mc Millan 1994
3 DATA ADQUISITION AND PROCESS CONTROL WITH THE M68HC.11
Driscoll, Frederick F.editorial Merrill Mc Millan 1994

También podría gustarte