Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Introduccin
II.
Desarrollo
USE IEEE.STD_LOGIC_1164.all;
ENTITY var IS
Port(
x: in std_logic_vector(4 downto 0);
y0, y1, y2, y3, y4, y5, y6, y7, y8, y9, y10,
y11, y12, y13, y14, y15, y16, y17, y18,
y19, y20, y21, y22, y23, y24, y25, y26,
y27, y28, y29, y30, y31, y32: out
std_logic
);
end var;
architecture multi of var is
begin
process(x)
begin
y0<='1'; y1<='1'; y2<='1'; y3<='1';
y4<='1'; y5<='1';
y6<='1'; y7<='1'; y8<='1'; y9<='1';
y10<='1'; y11<='1';
y12<='1'; y13<='1'; y14<='1'; y15<='1';
y16<='1';
y17<='1'; y18<='1'; y19<='1'; y20<='1';
y21<='1'; y22<='1'; y23<='1'; y24<='1';
y25<='1'; y26<='1';
y27<='1'; y28<='1'; y29<='1'; y30<='1';
y31<='1';
end process;
process(x)
begin
when"00000"=> y0 <= ' 0 ';
when"00001"=> y1 <= ' 0 ';
when"00010"=> y2 <= ' 0 ';
when"00011"=> y3 <= ' 0 ';
when"00100"=> y4 <= ' 0 ';
when"00101"=> y5 <= ' 0 ';
when"00110"=> y6 <= ' 0 ';
when"00111"=> y7 <= ' 0 ';
when"01000"=> y8 <= ' 0 ';
when"01001"=> y9 <= ' 0 ';
when"01010"=> y10 <= ' 0 ';
when"01011"=> y11 <= ' 0 ';
when"01100"=> y12 <= ' 0 ';
when"01101"=> y13 <= ' 0 ';
when"01110"=> y14 <= ' 0 ';
when"01111"=> y15 <= ' 0 ';
when"10000"=> y16 <= ' 0 ';
when"10001"=> y17 <= ' 0 ';
when"10010"=> y18 <= ' 0 ';
when"10011"=> y19 <= ' 0 ';
when"10100"=> y20 <= ' 0 ';
when"10101"=> y21 <= ' 0 ';
when"10110"=> y22 <= ' 0 ';
when"10111"=> y23 <= ' 0 ';
when"11000"=> y24 <= ' 0 ';
when"11001"=> y25 <= ' 0 ';
entro: IN STD_LOGIC;
CLR: IN STD_LOGIC;
CLK: IN STD_LOGIC;
Sal : BUFFER
STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END Control;
ARCHITECTURE Despl OF Control IS
BEGIN
PROCESS(CLK)
BEGIN
IF (CKL'event AND CLK='1') THEN
IF(CLR='0') THEN
Sal<='0000';
else
Sal(0)<=Sal(1);
Sal(1)<=Sal(2);
Sal(2)<=Sal(3);
Sal(3)<=entro;
END IF;
END PROCESS;
End Despl;