Está en la página 1de 59

Clase 6: Circuitos Manejadores de Datos

Semestre 2009-I

Mdulos combinacionales bsicos MSI

Conjunto de compuertas que realizan una tarea especfica. Pueden implementar funciones booleanas. MSI: (Medium Size of Integration) con un nmero de compuertas entre 10 y 100. Circuitos MSI: Decodificadores, Codificadores , Multiplexores, demultiplexores.

Semestre 2009-I

Manejo de datos

6.1. Decodificadores 6.2 Codificadores 6.3. Multiplexores 6.4. Demultiplexores

Prsentat ion

Decodificadores
Decodificador:
Convierte un cdigo binario de entrada (natural, BCD, etc.) de N bits de entrada y M lneas de salida (N puede ser cualquier entero y M), tales que cada lnea de salida ser activada para una sola de las combinaciones posibles de entrada.

Cdigo binario n entradas

Decoder

m salidas

nxm
n 2
4

m
Prsentat

Decodificadores
Detecta un cdigo en la entrada e indica la presencia de este cdigo mediante un cierto nivel en una de las salidas.

Decodificador 2x4 (salidas activas altas)


Para cada posible condicin de entrada, una y slo una seal de salida, tendr el valor de 1 lgico.

Cdigo de entrada
6

Salida activa segn el cdigo de entrada

Decodificador 2x4 (salidas activas altas)


Una salida solo es 1, en una combinacin de S1 y S0 : Aplicaciones: * Convertir cdigos * Direccionar memorias y perifricos. * Implementar funciones lgicas

Decodificador 2x4 (salidas activas altas)

Entradas

Salidas
Enable
E 1 0 0 0 0 B X 0 0 1 1 A X 0 1 0 1
8

Y3 0 0 0 0 1

Y2 0 0 0 1 0

Y1 0 0 1 0 0

Y0 0 1 0 0 0
Pr

Decodificador 2x4 (salidas activas bajas)


Habilitador

EN

S1 S0 D0 X 0 0 1 1 X 0 1 0 1 1 0 1 1 1

D1

D2

D3

1 0 0 0 0

1 1 0 1 1

1 1 1 0 1

1 1 1 1 0

Decodificador 2x4 comercial: 74LS139

Entradas Enable
E 1 0 0 0 0 B X 0 0 1 1 A X 0 1 0 1
10

Salidas activas bajas


Doble decodificador 2x4
Y3 Y2 Y1 Y0 1 1 1 1 0 1 1 1 0 1 1 1 0 1 1 1 0 1 1 1
Prsentat

Mas Decodificadores (Decoders)


Comerciales: Decoder 3 a 8 (74LS138), Decoder 4 a 16 (74LS154). Decodificadores de BCD a 7 segmentos. (7447 y 7448, para nodo o ctodo comn) Ejercicio
Construir un Decoder de 3 a 8 a partir de 2 decoders 2 a 4 con habilitacin y compuertas adicionales.

11

Decodificador 3x8
Entradas

Salidas
Enable
activas Altas

El circuito se habilitar como decodificador si: E1=1, E2=0 y E3=0


12
Prsentat ion

Decodificador 3x8
Salidas activas altas C 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 A 0 1 0 1 0 1 0 1 Y7 0 0 0 0 0 0 0 1 Y6 0 0 0 0 0 0 1 0
13

Y5 0 0 0 0 0 1 0 0

Y4 0 0 0 0 1 0 0 0

Y3 0 0 0 1 0 0 0 0

Y2 0 0 1 0 0 0 0 0

Y1 0 1 0 0 0 0 0 0

Y0 1 0 0 0 0 0 0 0
Prsentat

Decodificador 3x8 (comercial)


Salidas activas Bajas

Entradas

Salidas
Enable
Si: E1 + E2 + E3 = 1 entonces salidas = 1 Si: E1 = 1 y E2 =0 y E3 = 0, resultado en la sigte tabla
14
Prsentat

Decodificador 3x8: 74LS138


Salidas activas Bajas C 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 A 0 1 0 1 0 1 0 1 Y7 1 1 1 1 1 1 1 0 Y6 1 1 1 1 1 1 0 1
15

Y5 1 1 1 1 1 0 1 1

Y4 1 1 1 1 0 1 1 1

Y3 1 1 1 0 1 1 1 1

Y2 1 1 0 1 1 1 1 1

Y1 1 0 1 1 1 1 1 1

Y0 0 1 1 1 1 1 1 1
Prsentat

Decodificador 4x16: 74LS154

16

Implementacin de funciones lgicas usando decodificadores


C=x,y,z(2,3,6,7)
x 0 0 0 0 1 1 1 1 y 0 0 1 1 0 0 1 1 z 0 1 0 1 0 1 0 1 C 0 0 1 1 0 0 1 1 Solo se toman las salidas que se activan
17

Ejemplo
Z f(A, B, C) m(2,3,5,6, 7)

Teorema de DeMorgan (XY)=X+Y


18

Conexion de decodificadores en paralelo


Construir un decodificador de 4 a 16 con dos decodificadores 3x8

19

Manejo de datos

6.1. Decodificadores 6.2 Codificadores 6.3. Multiplexores 6.4. Demultiplexores

20

Prsentat

Codificadores
Un codificador realiza la funcin inversa de un decodificador. El codificador asigna un cdigo nico de salida a cada seal de entrada. El nmero de entradas es mayor que el de salidas. Un codificador es una red lgica con 2n entradas y n salidas.

21

Codificadores
Codificador: Un codificador es un circuito combinacional cuya misin es presentar en la salida el cdigo binario correspondiente a la entrada activada.

Encoder

Cdigo binario n salidas

mxn
m entradas

m
22

n 2
Pr

Codificador: 4x2
Al activarse una de las entradas ( D0 a D3) , esta se representa con S0 y S1.

Entradas que se activan Cdigo de la entrada activa


23

Codificador: 4x2
Entradas D3 0 0 0 1 D2 0 0 1 0 D1 0 1 0 0 D0 1 0 0 0 Salidas S1 0 0 1 1 S0 0 1 0 1

S1 = D3.D2.D1.D0 + D3.D2.D1.D0 = D1.D0.(D3D2) S0 = D3.D2.D1.D0 + D3.D2.D1.D0 = D2.D0.(D3D1)


24
Pr

Codificador: 8x3
D7 0 0 0 0 0 0 0 1 D6 0 0 0 0 0 0 1 0 D5 0 0 0 0 0 1 0 0 D4 0 0 0 0 1 0 0 0 D3 0 0 0 1 0 0 0 0
25

D2 0 0 1 0 0 0 0 0

D1 0 1 0 0 0 0 0 0

D0 1 0 0 0 0 0 0 0

S2 0 0 0 0 1 1 1 1

S1 0 0 1 1 0 0 1 1

S0 0 1 0 1 0 1 0 1
Prsentat

Ejemplo: Codificador de decimal a BCD


Generalmente un pin a la entrada puede ser 1 y el resto debe estar en 0. Que pasa si existen 2 mas entradas activas? Cual cdigo sale el 010 o el 111?.
Nota: ver tabla de verdad del decodificador de prioridad
26

Codificadores de prioridad
Asignan un orden (prioridad) a las entradas. En el caso en que ms de una entrada este activa (1) , el cdigo de salida corresponde a la entrada con ms alta prioridad (generalmente la de mayor peso) .

27

Codificador de 8 a 3
Circuito extra para determinar la prioridad

Seal que indica que ninguna entrada esta activa


28

Codificador de prioridad comercial


Codificador: 74LS148

Salidas

Entradas
Enable
29
Prsentat

Codificador de prioridad 74LS148


Entradas E1 1 0 0 0
Entradas y salidas activas en bajo

Salidas 2 X 1 X X 0 1 1 1 1 1 1 X 1 X 0 1 1 1 1 1 1 0 X 1 0 1 1 1 1 1 1 1 A2 A1 A0 GS E0 1 1 0 0 0 0 1 1 1 1 1 1 0 0 1 1 0 0 1 1 1 1 0 1 0 1 0 1 0 1 1 1 0 0 0 0 0 0 0 0 1 0 1 1 1 1 1 1 1 1
Prsentat ion

7 X 1 X X X X X X X 0

6 X 1 X X X X X X 0 1

5 X 1 X X X X X 0 1 1

4 X 1 X X X X 0 1 1 1

3 X 1 X X X 0 1 1 1 1

0 0 0 0 0 0

La entrada activa de mayor prioridad genera el cdigo de salida


30

Manejo de datos

6.1. Decodificadores 6.2 Codificadores 6.3. Multiplexores 6.4. Demultiplexores

31

Prsentat

Multiplexor o Selector de Datos


Selector de Datos.
Permite seleccionar informacin digital procedente de diversas fuentes a una nica lnea de salida, por medio de lneas de seleccin.

32

Multiplexor: MUX
Multiplexor:
Tambin llamado selector de datos. Dispositivo digital que mediante sus lneas de seleccin permite seleccionar una entrada y encaminarla a la nica salida

Mux

mx1
m entradas n lneas de seleccin
33

m 2n
Prsentat

Multiplexor 2x1
Selecciona una lnea de datos de entrada (A o B) dependiendo del valor del bit de seleccin S.

34

Multiplexor 2x1
Multiplexor: 2x1 E B 1 0

Entradas
A

Y
Salida
E 1 0 0 S x 0 1 Y 0 A B
Prsentat

Seleccin
35

Multiplexor 2x1: circuito interno

Controla el paso del dato


36

Multiplexor 2x1 (comercial)


Multiplexor: Cudruplo multiplexor 2x1 74LS157

Entradas 1 Entradas 2 Entradas 3 Entradas 4 Seleccin Habilitacin


37

Salida 1 Salida 2 Salida 3 Salida 4

Prsentat

Multiplexor 4x1
Multiplexor: 4x1 X3 X2 X1 X0 3 2 1 0
E 1 0 0

Salida

Y
B x 0 0 1 1 A x 0 1 0 1 Y 0 X0 X1 X2 X3
Prsentat

Entradas Seleccin
38

0 0

Multiplexor 4x1: circuito interno

39

Multiplexor 4x1 (comercial)


Doble multiplexor 4x1 74LS153

Entradas 1

Salida 1

Salida 2 Entradas 2 Seleccin Habilitacin


40
Prsentat

Multiplexor 8x1 (comercial)


Salida

74LS151

Habilitacin (encendido) 3 lneas de seleccin

8 entradas de datos
41

Salida negada

Funciones Booleanas con MUX


Caso 1:
Nmero de variables de entrada (NVE) = Nmero de lneas de seleccin del MUX (NLSM)

Ubicar directamente las constantes de la tabla (Vcc, GND) en las entradas del MUX
42

Funciones Booleanas con MUX


Caso 2: NVE - 1 = NLSM
Se toman las variables mas significativas como lneas de control Cuidado con el orden!

Se compara la variable menos significativa con la salida (D con F)

43

Funciones Booleanas con MUX y compuertas adicionales Caso 3:NVE-2 = NLSM Dividir la tabla de verdad en secciones Obtener funciones mas sencillas Normalmente tablas de verdad para compuertas de 2 entradas. Se busca el equivalente de una compuerta conocida, variable, o se determina en trminos de SOP o POS.

44

Ejemplo

Tablas de verdad de 2 variables I0

F(A,B,C,D)=m(3,5,7,9,10) Sean A y B , S1 y S0 respectivamente. Observe como cambia la tabla.

I1

I2

I3
45

Ejemplo
Y ahora para cada grupo de C y D
I0=C.D PARA A=0 y B=0 I1=D PARA A=0 y B=1

I2=CD PARA A=1 y B=0 I3=0 PARA A=1 y B=1


46

Solucin

Solucin con MUX comercial


A B C D U3A

U1A

U2 74LS153
I3a I2a I1a I0a S1 S0 I3b I2b I1b I0b
48

Ea Ya

Yb Eb

Ejemplo
f(V,W,X,Y,Z)= m(5,7,13,15,16,20,25,27,29,31) Posibles soluciones: 1 Mux de 5 lneas de seleccin (32 - 1) 1 Mux de 4 lneas de seleccin (16 -1) 1 Mux de 3 lneas de seleccin (8-1) y compuertas adicionales.
2 Mux de 2 lneas de seleccin (4-1), compuertas adicionales utilizando el habilitador (EN ABLE) del Mux

V 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

W X 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

Y 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

Z 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

F 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1

V W X Y Z F 1 0 0 0 0 1 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 1

49

Ejemplo
f(V,W,X,Y,Z)= m(5,7,13,15,16,20,25,27,29,31) Sean Y y Z las variables menos significativas para las tablas de verdad y hallar las compuertas. Sean W y X los bits de seleccin de cada uno de los MUX de 4 a 1. Sea V el ENABLE de cada uno de los MUX de 4 a 1. La salida es la unin de los 2 multiplexores (utilizando compuerta OR)

MUX 1
50

MUX 2

Ejemplo
f(V,W,X,Y,Z)= m(5,7,13,15,16,20,25,27,29,31) Para la primera Tabla (MUX 1)

I0=0 I1=Z I2=0 I3=Z

51

Ejemplo
f(V,W,X,Y,Z)= m(5,7,13,15,16,20,25,27,29,31) Para la segunda Tabla (MUX 2)

I0=Y NOR Z I1=Y NOR Z I2=Z I3=Z

52

Solucin

53

Manejo de datos

6.1. Decodificadores 6.2 Codificadores 6.3. Multiplexores 6.4. Demultiplexores

54

Pr

Demultiplexores
Demultiplexor: Dispositivo digital que permite encaminar la nica entrada por un de las salidas previamente seleccionada.

Entrada

Demux

m salidas

1m
x

n lneas de seleccin
55

n 2

Demultiplexores (Demux)
El dato D puede tomar solo una salida Y, segn la seleccin de S0 y S1. Los DEMUX son distribuidores de datos. Demux 1 a 4.

Seleccin de la ruta que toma el dato


56

Diagrama de tiempos: Demux de 1 a 4

57

Decoder o Demux
Una caracterstica de los DECOS es que pueden ser utilizados como DEMUX El habilitador del DECO es la entrada de datos (serial).

S0 S1

DECO en configuracin DEMUX

58

Decoder o Demux

59

También podría gustarte