Está en la página 1de 9

Universidad de El Salvador Sistemas Digitales I

Universidad de El Salvador Facultad de Ingeniera y Arquitectura


Gua 2: Convertidor de cdigos con compuertas bsicas
Robles Rivas, Benjamn Antonio Albiana Alfaro, Juan Ramn

RESUMEN: Esta segunda gua pretende ser una introduccin al uso de las potentes herramientas de simulacin para la verificacin del buen funcionamiento de cdigo escrito en VHDL (Very High Speed Integrated Circuit Hardware Description Language) y a la vez seguir introduciendo al estudiante en la programacin en este lenguaje. Como se ha visto antes, con software como GHDL, ActiveHDL, Altera y otros similares se obtienen lo esperado, pero cuando los circuitos crecen o necesita conectar el circuito a un dispositivo final, ya sea este un LED (Diodo Emisor de Luz), una pantalla LCD (Cristal Liquido), un display de siete segmentos, etc. El simple ver unas graficas no sirve de mucho, se necesita en muchas ocasiones interactuar con el circuito, con interruptores, pulsadores, etc. Lo que se necesita es una simulacin en tiempo real, para infinidad de herramientas, algunas mas potentes que otras, ejemplos de estas son, Proteus, PSIM, TINA, WorkBench, MultiSim, etc. Pero aparte de que trabajen en tiempo real, se necesita que soporten la programacin en VHDL, el nmero de herramientas se reduce en gran medida, MultiSim y TINA son unas de las que mas resaltan, en esta gua se usar TINA versin estudiantil, ya que es una de las mas fciles de utilizar.

INTRODUCCIN: TINA tiene a su disposicin varias ediciones, cada una se ajusta de acuerdo a las necesidades de cada individuo, segn el fin que se le desee dar al software. TINA, puede ser usado fcilmente en reas de diseo de circuitos lineales, Microelectrnica, Electrnica de Potencia, Microprocesadores y Micro controladores, Circuitos digitales, y otros. VENTAJAS DEL USO DE TINA: Tiene un editor y depurador de cdigo VHDL Trabaja con circuitos anlogos, digitales e incluso mezclas de ambos. Permite usar Sub Circuitos, para manejar de forma ms fcil y compacta, grandes circuitos. Tiene a su disposicin un gran repertorio de circuitos integrados disponibles en el mercado, as como otros de propsito ilustrativo. No necesita la compilacin previa de cdigo VHDL, ya que lo interpreta automticamente.

Convertidor de cdigos con compuertas bsicas

Ciclo I-2014

Ing. Salvador German

Pgina 1

Universidad de El Salvador Sistemas Digitales I

Instalacin de las herramientas de programacin y Simulacin VHDL: Para Windows: Descargar el Software a utilizar, seguir los pasos de instalacin que se indican durante el proceso de instalacin. Para Linux: Si se quiere instalar TINA en Ubuntu, se tiene que instalar previamente una herramienta llamada wine, esta se puede instalar desde el Synaptic, una vez instalada se ejecuta el archivo descargado de Tina, igual que en Windows, y se siguen los mismos pasos. Ejemplo sencillo del uso de TINA y VHDL. Lo primero que se debe hacer es editar el cdigo a utilizar, este lo se puede escribir en cualquier editor de texto plano, como el block de notas de Windows, o el Gedit de Ubuntu, la ventaja del Gedit es que resalta el cdigo con colores, lo cual hace mas fcil la interpretacin del mismo, as como la bsqueda de errores, tambin se puede usar otro software como los vistos en la gua anterior, ActiveHDL, Altera, etc. Por ltimo TINA tambin trae un editor depurador de cdigo VHDL, la interfaz de este se muestra en la siguiente imagen. El cdigo de ejemplo es el mismo que se utilizo en la gua anterior, se debe escribir uno para una compuerta AND y otro para una compuerta OR, no se muestran detalles de esto porque se supone que el estudiante ya esta familiarizado con la programacin en cdigo VHDL. Figura 1. Para correr TINA o el Depurador de VHDL en Windows al men de inicio y se busca como se muestra en la figura 2. En Linux Ubuntu ir a Accesorios, Wine y ah buscar Tina debe verse igual que en Windows.

Figura 2.

Convertidor de cdigos con compuertas bsicas

Ciclo I-2014

Ing. Salvador German

Pgina 2

Universidad de El Salvador Sistemas Digitales I


Se ingresa en TINA, con lo cual se deber de ver la siguiente imagen.

Figura 3. La interfaz de TINA se muestra en la figura 4, se han resaltado las pestaas que se utilizarn en el desarrollo de este ejemplo. Se selecciona una pestaa por ejemplo BASIC y se muestran varios dispositivos sobre esta barra como fuentes de voltaje DC, Conexin a tierra, etc. Si se elige otra pestaa se muestran otros componentes. Figura 5.

Figura 6. Esta ventana se modifica de modo que quede como la figura 7, en sta se ha resaltado las partes que hay que editar, luego se pulsa sobre el botn superior que tiene tres puntos, con esto se abrir una nueva ventana sta se muestra en la figura 8. En esta ventana deber explorar y encontrar el cdigo que edito en la parte 1, recuerde que ese cdigo debe tener extensin de archivo *.vhd. Elegimos la opcin abrir y presionamos OK.

Figura 4. Para crear un nuevo componente, en nuestro caso un componente VHDL, ir al men TOOLS y seleccionar NEW MACRO WIZARD, como se muestra en la figura 5, esto mostrar otra ventana como en la figura 6.

Convertidor de cdigos con compuertas bsicas

Ciclo I-2014

Ing. Salvador German

Pgina 3

Universidad de El Salvador Sistemas Digitales I


Parece que se ha hecho nada, pero ahora se puede usar el componente creado, como cualquier otro componente de TINA, ahora ir al men INSERT, y elegir la opcin MACRO como se muestra en la figura 10, aparecer una nueva ventana explore en busca del componente creado, seleccinelo y bralo, como se muestra en la figura 11. Figura 7.

Figura 8. Luego de esto aparecer una nueva ventana, donde se escribe el nombre del componente ha ser creado, este puede ser el mismo que el del cdigo VHDL creado, este proceso se muestra en la figura 9. Se guarda y se estar de nuevo en el canvas principal (parte de la ventana principal destinada para el diseo del circuito) como en la figura 4.

Figura 10.

Figura 9.

Figura 11.

Convertidor de cdigos con compuertas bsicas

Ciclo I-2014

Ing. Salvador German

Pgina 4

Universidad de El Salvador Sistemas Digitales I


Al abrir el componente, aparecer pegado al cursor del mouse, como se muestra en la figura12, haga click en un lugar del canvas y habr colocado su primer componente, este se muestra en la figura 13. Seleccion ahora otros componentes, primero unos interruptores que servirn de medios de accin sobre el circuito, para seleccionarlos, elija la pestaa switches, como se muestra en la figura 15. Seleccionarlo y hacer las conexiones como se muestra en la figura 16.

Figura 12

Figura 15.

Figura 13. Puede copiar y pegar componentes al igual que un editor de texto, Ctrl+C, Ctrl+V, o elegirlo de nuevo volviendo a la figura 10, por cualquiera de estos dos mtodos, seleccione otro componente, repita el procedimiento para una compuerta OR, de modo que el resultado sea el que se muestra en la figura 14. Figura 16. Ahora elegir un indicador en la pestaa METERS, como se muestra en la figura 17. Finalmente el circuito debe quedar como se muestra en la figura 18.

Figura 14. Figura 17.

Convertidor de cdigos con compuertas bsicas

Ciclo I-2014

Ing. Salvador German

Pgina 5

Universidad de El Salvador Sistemas Digitales I

Figura 20. Figura 18. Ahora editar un poco ms, solo para efectos de fcil interpretacin, haga doble click sobre un interruptor, aparecer la ventana que se muestra en la figura 19, cambie el nombre en la entrada Label donde dice SW-HL1, y cambiarlo por una A, hacer lo mismo para los otros componentes, colocando un nombre que represente mas el circuito, como se muestra en la figura 20. Ahora para correr la simulacin, seleccionar VHDL en la lista desplegable que se muestra en la figura 21, luego pulsar sobre el botn que est justo a la par VHD como se muestra en la figura 21.

Figura 21.

Figura 19. Figura 22. Utilizando los interruptores se puede provocar todas las entradas posibles, para observar el comportamiento del circuito, en las figuras 23, 24 y 25, se muestran algunas posibles entradas, con su respectiva salida.

Convertidor de cdigos con compuertas bsicas

Ciclo I-2014

Ing. Salvador German

Pgina 6

Universidad de El Salvador Sistemas Digitales I

Figura 23. Figura 26.

Figura 24. Figura 27. Por ltimo puede ver, el cdigo VHDL de un componente haciendo doble click sobre l, aparecer la ventana que se muestra en la figura 28, luego elija la opcin ENTER MACRO, y aparecer el cdigo como se muestra en la figura 29. Figura 25. Observe como los puntos rojos y azules, indican el estado de cada entrada y salida, adems puede comprobar fcilmente que el circuito hace justamente lo que se esperaba que hiciera. Por ltimo guarde el circuito con el nombre que usted quiera darle, como se muestra en las figuras 26 y 27.

Figura 28.

Convertidor de cdigos con compuertas bsicas

Ciclo I-2014

Ing. Salvador German

Pgina 7

Universidad de El Salvador Sistemas Digitales I


El convertidor tendr en la entrada slo cdigos vlidos del 8421 y deber ser creado utilizando el cdigo VHDL para crear el elemento en TINA, no se podr utilizar un decodificador ya existente en TINA, estrictamente utilizar solo compuertas bsicas. El display 7 segmentos ser el que se encuentra disponible en TINA. Las entradas A, B, C y D sern generadas con switches que ya se encuentran en TINA e irn conectados a tierra o Vcc (5V). El modelo de switch a utilizar queda a opcin del estudiante. En el ejemplo se mostro la opcin ms sencilla.

Figura 29.

Asignaciones:
Desarrollar y simular un convertidor de cdigo 8421 a 7 segmentos utilizando TINA como simulador y un display de 7 segmentos de la siguiente forma: 2. Desarrollar un convertidor de codigos BCD: 2421, 5421, 7421 y Exceso-3, todos a el codigo BCD 8421; estrictamente utilizar solo compuertas bsicas, seran 6 entradas, las primeras 2 Entradas, A Y B sern de control, es decir, 00=7421 a 8421, 01= Exceso 3 a 8421, 10=2421 a 8421 y 11= 5421 a 8421, y 4 entradas las cuales seran el codigo BCD que se convertirn; y las salidas seran las 4 del codigo 8421, deber ser creado utilizando el cdigo VHDL para crear el elemento en TINA, las entradas seran generadas; en las salidas no validas de los codigos BCD se rellenaran con 0. Las entradas A, B, C, D, E y F sern generadas con switches que ya se encuentran en TINA e irn conectados a tierra o Vcc (5V). El modelo de switch a utilizar queda a opcin del estudiante

Figura 30.

Convertidor de cdigos con compuertas bsicas

Ciclo I-2014

Ing. Salvador German

Pgina 8

Investigacin: Investigue las libreras existentes para VHDL, las caractersticas, elementos que contiene, como accesar a stas y cmo utilizarlas. Contenido del reporte:

-Capturas del funcionamiento circuito en TINA Resultados de investigacin Observaciones Conclusiones Bibliografa

del

Contenido del CD: Portada ndice Introduccin Objetivos Marco Terico Desarrollo de los circuitos: -Descripcin de los pasos seguidos para la solucin -Tablas de verdad y ecuaciones generadas -Cdigos VHDL -Grficos de cada circuito en TINA Software utilizado Reporte en formato PDF y WORD Cdigos utilizados (*.vhd). Circuitos hechos en TINA. Componentes creados (*.TSM) Imgenes de los resultados. NOTA: El contenido del CD deber ir ordenado, con carpetas individuales para cada tem mencionado ejemplo: IMGENES, CIRCUITO1, etc.

Fecha de entrega: Martes 29 de Abril de 9:00am a 10:30am en la asociacin de estudiantes de elctrica, estrictamente la entrega del reporte debe de ser a los instructores, despus de esa hora se calificar en base nueve, cada da de retraso en la entrega disminuye la base de calificacin en 2.

También podría gustarte