Está en la página 1de 92

Instituto Tecnolgico de Ciudad Guzmn

Estado actual de la lgica programable

CAPITULO 1

Introduccin
En la actualidad el nivel de integraci n alcanzado con el desarrollo de la microelectr nica ha hecho posible desarrollar sistemas completos dentro de un solo circuito integrado (SOC, system on chip), lo cual ha mejorado caractersticas como velocidad, consumo de potencia y rea de diseo. Esto ha permitido obtener componentes est ndares de mayor complejidad, la l gica programable permite independizar el proceso de fabricaci n del proceso de diseo

1.1 Dispositivos Lgicos Programables (PLD)

Los PLDs por sus siglas en ingl s, favorecen la integracin de aplicaciones y desarrollos l gicos mediante el empaquetamiento en un circuito integrado; el resultado es la reduccin de espacio fsico, su implementacin requiere una fase de programacin que el diseador suele realizar. El dise o ASIC (circuitos integrados de aplicaci n especifica) domina las tendencias a nivel microelectr nica, su diseo solo es adecuado a alto volumen de produccin

Los FPGA (arreglos de compuertas programables en campo) y CPLD (complex PLD) ofrecen las mismas ventajas que un ASIC pero a un menor costo.

Tecnologas de fabricacin
Full-custom de dise o, requiere todas las etapas de fabricacin. Los riesgos y costos son muy elevados Estructura regular de dispositivos b sicos, prefabricada( TRANSISTORES) solo necesita las ultimas etapas de fabricacin. El dise o esta limitado por la estructura prefabricada para cada familia de
CONTIENE Libertad

Semi-custom Gate arrays

Semi-custom standard cells

estructura prefabricada contiene BIBLIOTECA DE CELDAS Y M dulos precaracterizados y espec ficos para cada tecnologa. Libertad de diseo, el desarrollo exige un proceso de fabricacin completo.
Dispositivos

No

fabricados, se pueden personalizar desde el exterior mediante tcnicas de programacin. Lgica programable El dise o se basa en bibliotecas y mecanismos espec ficos de mapeado de funciones. Los PLDs se usan para remplazar a los SSI, MSI y VHSI

Dispositivo
PROM PLA PAL GAL CPLD FPGA

Descripcin
Programmable Read-Only Memory Programmable Logic Array Programmable Array Logic Generic Array Logic Complex PLD Fields Program Gate array

1.1.1 Estructura interna de un PLD


Los dispositivos antes mencionados est n formados por arreglos o matrices fijas o programables excepto CPLD y FPGA estructurados mediante bloques l gicos configurables y celdas lgica de alta densidad. El PLD esta formado por compuertas AND y OR. a) Arreglo AND. Formado por varias compuertas AND interconectadas, las cuales cuentan con un fusible en cada punto de interseccin, la programacin consiste en fundir o apagar los fusibles.

Arreglo AND no programado

Arreglo AND programado

b) ARREGLO OR b) Arreglo OR. Formado por compuertas OR conectadas a un arreglo programable y contiene un fusible un cada punto de interseccin.
Arreglo OR

no programado

Arreglo OR programado

Dispositivos lgicos programables

La PROM no se utiliza como dispositivo lgico, sino como una memoria direccionable. El PLA se desarrollo para superar a la PROM, llamado tambin FPLA ya que el usuario y no el fabricante es quien la programa. El PAL se desarrollo para superar algunas limitaciones del PLA, como retardos provocados por la implementacin de fusibles adicionales.

Arquitectura interna del PAL16L8

DIAGRAMA A BLOQUES DE UNA GAL

1.1.2 Arreglo Lgico Genrico (GAL)


El GAL es similar al PAL, ya que se forma con arreglos AND programable y OR fijo, con una salida lgica programable. La principal diferencia entre los dos dispositivos es que el GAL es reprogramable y contiene configuraciones de salida programables. Usan la tecnologa E2CMOS (ellectrically erasable CMOS), en lugar de tecnologa bipolar

Programacin de un arreglo GAL


El GAL esta formado por celdas programables, cada fila se conecta a una entrada de la compuerta AND y cada columna a una variable de entrada y sus complementos. Cuando se programa una celda esta se activa mediante la aplicaci n de cualquier combinaci n de variables de entrada o sus complementos a la compuerta AND. Esto permite la implementaci n de cualquier funcin requerida.

Programacin del dispositivo GAL

Arquitectura de un dispositivo GAL


GAL22V10
Este circuito cuenta con 22 lneas de entrada y sus complementos, en total 44 variables de entrada (l neas verticales) a cada compuerta AND. La intersecci n que forman las l neas de entrada con los t rminos del producto (l neas horizontales), representa cada una de las celdas que se pueden programar para conectar una variable de entrada a una l nea de t rmino producto, donde es posible apreciar la forma de obtener la suma de productos

Arquitectura interna del GAL22V10

Suma de productos dentro de una GAL

Macroceldas lgicas de salida OLMC

Una OLMC (Output Logic Macrocell), esta formada por circuitos lgicos que se pueden programar como lgica combinacional o secuencial. Las configuraciones combinacionales se implementan por medio de programaci n, y en las secuenciales la salida resulta de un flip-flop. Una macrocelda del dispositivo GAL22V10 en general esta formada por un flip-flop y dos multiplexores.

Arquitectura de una OLMC GAL22V10

Puede haber de ocho a diecis is entradas de las compuertas AND en la compuerta OR. Esto indica las operaciones producto que se pueden efectuarse en cada macrocelda. El multiplexor 1 de 4 conecta una de sus cuatro lneas de entrada al buffer triestado de salida, en funci n de las lneas de seleccin S0 y S1. El multiplexor 1 de 2 conecta por medio del buffer de salida del flip-flop o la salida del buffer triestado al arreglo AND, esto se determina por medio de S1. Cada una de las lneas de seleccin se programa mediante un grupo de celdas especiales que se encuentran en el arreglo AND.

1.2 Dispositivos lgicos programables de alto nivel de integracin


Los PLDs de alto nivel de integracin se crearon con el fin de integrar mayor cantidad de dispositivos en un circuitos (soc). Se caracterizan por la reduccin de espacio y costo, adem s de ofrecer una mejora sustancial en el dise o de sistemas complejos, dado que incrementan la velocidad y las frecuencias de operacin. Brindan a los dise adores la oportunidad de enviar productos al mercado con mayor rapidez y les permite realizar los cambios en el diseo sin afectar la lgica interna del dispositivo, ya que son programables en el campo.

1.2.1 Dispositivos lgicos programables complejos CPLD


Un circuito CPLD consiste en un arreglo de mltiples PLD agrupados como bloques en un chip, tambi n se conocen como EPLD (Enhanced PLD), Super PAL, Mega PAL, etc. Se califican como de alto nivel de integraci n, ya que tienen una gran capacidad de unos 50 PLDs sencillos. Cada CPLD contiene m ltiples bloques l gicos conectados por medio de se ales canalizadas desde la interconexi n programable (PI). PI se encarga de interconectar los bloques l gicos y los bloques de entrada/salida del dispositivo sobre las redes apropiadas.

Arquitectura bsica de un CPLD

Los bloques l gicos (celdas generadoras), est n ormadas por un arreglo de productos de t rminos que mplementa los productos efectuados en las compuertas AND, unto con un esquema de distribuci n de t rminos que permite crear las sumas de los productos provenientes del arreglo AND y por macroceldas. El tama o de los bloques determina cuanta l gica se puede implementar dentro del CPLD, es decir, la capacidad del dispositivo.

Bloque lgico programable

PI INTERCONEXION PROGAMABLE SE APLICAN ACCIONES ESTABLECIDAS CREA SUMA DE ARREGLOS AND APLICAN LOGICA SECUENCIAL O COMBINACIONAL

a) Arreglos de productos de trminos. Es la parte del CPLD que identifica el porcentaje de t rminos implementados por cada macrocelda y el numero mximo de productos de trminos por bloque lgico.

b) Esquema de distribucin de trminos. Es el mecanismo utilizado para distribuir los productos de t rminos a las macroceldas, se realiza mediante el arreglo programable de compuertas OR de un PLD.

30

a) Macroceldas. Estn configuradas internamente por flipflops y un control de polaridad que habilita cada afirmaci n o negaci n de una expresi n. Los CPLD suelen tener macroceldas de entrada/salida, de entrada y ocultas, mientras que los PLD solo tienen macroceldas de entrada/salida. Cada uno de los bloques lgicos que conforman el dispositivo se expresan en t rminos del n mero de macroceldas que contiene.

1.2.2 Dispositivos de compuertas programables en campo (FPGA)


Los dispositivos FPGA se basan en lo que se conoce como arreglos de compuertas, consisten en la parte de la arquitectura que contiene tres elementos configurables: bloques l gicos configurables (CLB), bloques de entrada/salida (IOB) y canales de comunicacin. A diferencia de los CPLD, la densidad de los FPGA se establece en cantidades equivalentes a cierto n mero de compuertas.

Un FPGA est formado por arreglos de bloques l gicos configurables (CLB), que se comunican entre ellos y con las terminales de entrada/salida (E/S) por medio de alambrados llamados canales de comunicaci n. Cada FPGA contiene una matriz de bloques l gicos id nticos, conectados por medio de lneas metlicas que corren vertical y horizontalmente entre cada bloque.

Arquitectura bsica de un FPGA

Los bloques l gicos (celdas generadoras de funciones), est n configurados para procesar cualquier aplicaci n l gica. Estos bloques tienen la caracter stica de ser funcionalmente completos, permiten la implementacin de cualquier funcin booleana representada en forma de suma de productos. El dise o l gico se implementa mediante bloques conocidos como generadores de funciones o LUT (Look Up Table), los cuales permiten almacenar la lgica requerida. Cuando se aplica alguna combinacin en las entradas de la LUT, el circuito la traduce en una direcci n de memoria y env a fuera del bloque el dato almacenado en esa direccin.

Arquitectura de un bloque lgico configurable FPGA

En un dispositivo FPGA, los CLB est n ordenados en arreglos de matrices programables, llamadas PSM (Programable Switch Matrix), la matriz se encarga de dirigir las salidas de un bloque a otro. Las terminales de entrada y salida del FPGA pueden estar conectadas directamente al PSM o CLB, o se pueden conectar por medio de vas o canales de comunicacin. EL CPLD y FPGA, utilizan bloques lgicos en su fabricacin. La diferencia entre ellos radica en el nmero de flip-flops utilizado, el FPGA es rica en registros y el CPLD mantiene una baja densidad de ellos.

Caractersticas Arquitectura Densidad Funcionalidad


Similar

CPLD a un PLD Ms combinacional


Baja

FPGA los arreglos de compuertas Ms registros RAM


Media Similar a

a media

a alta

a frecuencias superiores a 200 MHz

Trabajan

de la aplicacin (arriba de los 135 MHz)


Arquitectura

Depende

de

Contadores

Aplicaciones

rpidos Maquinas de estado Lgica combinacional

computadoras Procesadores digitales de seal (DSP) Diseos con registros

1.3 Ambiente de desarrollo de la lgica programable


Una de las ventajas al dise ar sistemas digitales mediante dispositivos l gicos programables radica en el bajo costo de los recursos requerido para el desarrollo de estas aplicaciones. El soporte bsico se encuentra formado por una computadora, un programador de dispositivos lgicos y el software de aplicacin. Diversos programas CAD (dise o asistido por computadora), como PALASM, OPAL, PLP, ABEL, CUPL, se encuentran disponibles para la programaci n de dispositivos lgicos.

Compilador lgico
PALASM (PAL Asembler: ensamblador de PAL)

Caractersticas
Creado por la compaa Advances Micro Devices (AMD). Desarrollado unicamente para aplicaciones con dispositivos PAL Acepta el formato de ecuaciones booleanas Utiliza cualquier editor que grabe en formato ASCII.

Compilador lgico OPAL (Optimal PAL language:lenguaje de optimizacion para arreglos programables.)

Caractersticas Desarrollado por National semiconductors se aplica a dispositivos PAL y GAL Formato para usar lenguaje de maquinas de estado equaciones booleanas de distintos niveles, tablas de verdad o cualquier conbinacion entre ellas. Disponible en vercion estudiantil y profecional (OPAL Jr y OPAL Pro)

Compilador lgico PLPL (Programable Logic Programming Language: Lenguaje de progaramacion de logica programable)

Caractersticas Creado por AMD Introduce el concepto de jerarquias en sus dieos Formatos multiples (ecuaciones booleanas, tablas de verdad, y diagramas de estado y las combinaciones entre estos.) Aplicaciones en PAL y GAL

Compilador lgico ABEL (Advanced boolean exprecion language: lenguaje avansado de expreciones booleanas)

Caractersticas Creada por data I/O Corporation Programa cualquier tipo de PLD (version 5.0) Proporciona tre formatos diferentes de entrada: ecuaciones booleanas, tablas de verdad, y diagramas de estado. Es catalogado como un lenguaje avanzado de HDL(lenguaje de descripcion en hardware)

Compilador lgico
Creado

Caractersticas
por AMD para el desarrollo de diseos complejos. Presenta una total independencia del dispositivo. Programa cualquier tipo de PLD. Facilita la generacin de descripciones lgicas de alto nivel. Es catalogado como HDL.

CUPL (Compiler Universal Programmable Logic)

Estos programas (compiladores l gicos) tienen una funci n en comn: procesar y sintetizar el diseo lgico que se va a introducir a un PLD mediante un mtodo especifico.

Mtodo tradicional de diseo con lgica programable


La manera ms tradicional de disear con lgica programable, parte de la presentaci n esquem tica del circuito que se requiere realizar y luego se define la soluci n del sistema por el m todo adecuado

Las ecuaciones que rigen el comportamiento del sistema se encuentran derivadas en funcin de las salidas x1 y x2 del circuito. Una vez que se obtienen estas ecuaciones, el siguiente paso es introducir en la computadora el archivo fuente o de entrada; es decir, el programa que contiene los datos que permitir n al compilador sintetizar la lgica requerida. Despus se especifica el dispositivo PLD que se va a utilizar, la numeraci n de los pines de entrada y salida, y las variables de diseo. Por ltimo, se define la funcin lgica en forma de ecuaciones booleanas o cualquier formato que acepte el compilador.

Diseo del circuito en PALASM

El siguiente paso consiste en la compilacin del diseo, el cual radica en localizar los errores de sintaxis o de otro tipo, cometidos durante la introducci n de datos al archivo fuente. El compilador procesa y traduce el archivo fuente y minimiza las ecuaciones. El dise o se ha simulado utilizando un conjunto de entradas y sus correspondientes valores de salida conocidos como vectores de prueba. Durante este proceso se comprueba que el dise o funcione correctamente antes de introducirlo al PLD. Si se detecta algn error en la simulacin, se depura el diseo para corregir el error.

Una vez que el diseo no tiene errores, el compilador genera un archivo conocido como JEDEC (Joint Electronic Device Engineering Council). Este archivo indica al grabador cules fusibles fundir y cules activar, para que luego se grabe el PLD. Ciertos PLD (PROM, PAL, GAL) se programan usando el grabador de dispositivos lgicos, otros como CPLD y FPGA, presentan la caracter stica de ser programables dentro del sistema ISP (InSystem Programmable). El mtodo de diseo con lgica programable reduce de manera considerable el tiempo de diseo y permite al diseador mayor control de los errores.

1.4 CAMPOS DE APLICACIN DE LA LOGICA PROGRAMABLE


La lgica programable es una herramienta de diseo muy poderosa aplica en el mundo industrial y en proyectos universitarios . En la actualidad los PLDS mas sencillos como el GAL,PAL,PLA como reemplazos de los LSI y MSI hasta los potentes CPLD y FPGA que tienen aplicaciones en comunicaciones, computacin, redes, medicina etc..

Los CPLDS son recomendables en aplicaciones que requieren muchos ciclos de sumas de productos ya que se pueden introducir en el dispositivo para ejecutarse al mismo tiempo, lo que conduce a pocos retrasos Por otro lado el FPGA son recomendables en aplicaciones secunciales que no suponen grandes cantidades de terminos producto. Aplicaciones en procesadores digitales (DSP)

Desarrollos recientes Una tarjeta basada en un FPGA de la compaa de xilinx corporation cuyo desarrollo permite el procesamiento de datos en paralelo a alta velocidad lo que reduce de datos intensivo. Una tarjeta CPLD Altera corporation que permite diversas aplicaciones en tiempo real como el filtrado digital y muchas otras de campo en procesamiento digital de seales.

EJEMPLO DE UN DISEO LOGICO PROGAMABLE COMPLETO

Compaa Andraca consultig group htpp://users.ids.net/r andraca/Inc Code logic http://home.intekom. com/codelogic/

Productos desarrollados con logica programable


Procesadores

digitales de seales (DSP) Comunicaciones digitales Procesedores de audio y video


Logica

configurable Control embebido

Compaias que incorporan logica programable en sus diseos

Compaa

Productos desarrollados con logica programable


Modems

Botom line http://www.bltinc.com/

de alta velocidad. Audio video, adquisicion de datos, y procesamiento de seales en general. Aplicaciones militares: criptografia, seguridad de comunicaciones, proyectos espaciales.
Redes:

Commit`s services http://www.comit.com/ New horizonts GU http://netcomuk.com

aplicaciones en protocolos TCP/IP comprecion de audio/video Multimedia: aplicacionnes en tiempo real.


Digitalizadores,

camaras de video (120Mbytes/seg) video en tiempo real. Puertos paralelos de comunicaciones para PCs.

Compaa

Productos desarrollados con logica programable

Desing service Diseo de microprocesadores complejos segments Dispositivos para telecomunicaciones, DSP http://www.smartech. aplicaciones en diseos para control industrial. fi/

1.5 LA LOGICA PROGRAMABLE Y LOS LENGUAJES DE DESCRIPCION EN HARDWARE (VHDL)

Como consecuencia de la creciente necesidad de integrar un mayor numero de dispositivos en un solo circuito integrado , se desarrollaron nuevas herramientas de diseo que ayudan a integrar sistemas de mayor complejidad.

En los (50 s) aparecieron lenguajes de descripci n en hardware (HDL) como una opci n de dise o para el desarrollo de sistemas electr nicos elaborados. Durante los (70`s) surgieron lenguajes en el rea industrial como IDL de IBM, TI-HDL de Texas Instruments, ZEUS de General Electric, etc., y en el mbito universitario AHPL, DDL, CDL, ISPS.

En la dcada de los (80s) surgieron lenguajes de descripcin en hardware como VHDL , Verilog , Abel 5.0 , AHDL, permitieron abordar un problema lgico a nivel funcional (descripcin de un problema conociendo solo las entradas y las salidas).

Una de las principales caractersticas de estos lenguajes radica en su capacidad para describir en distintos niveles de abstraccin. Los niveles de abstraccin emplean para clasificar los modelos HDL segn el grado de detalle y precisin de sus descripciones.

Niveles de abstraccin: Algortmico : Relacin entre las entradas y salidas del sistema, sin hacer referencia a la realizacin final. Transferencia de registros (RT): Particin del sistema en bloques funcionales sin considerar a detalle la realizacin final de cada bloque. Lgico de compuertas: El circuito se expresa en trminos de ecuaciones lgicas de compuertas.

1.5.1 VHDL LENGUAJE DE DESCRIPCION DE HARDWARE


En la actualidad el lenguaje de descripci n de hardware m s utilizado a nivel industrial es el VHDL el cual es capaz de soportar el proceso de dise o de sistemas electr nicos complejos, con propiedades para reducir el tiempo de diseo y los recursos tecnolgicos requeridos.

El departamento de defensa de los Estados Unidos cre el lenguaje VHDL como parte de un programa Very High Speed Integrated Circuits (VHSIC). Apartir del cual se detect la necesidad de contar con un medio est ndar de comunicaci n y la documentaci n para analizar la gran cantidad de datos asociados para el dise o de dispositivos de escala y complejidad deseados.

El IEEE public en diciembre de 1987 un est ndar IEEEstd 1076-1987. Un ao ms tarde, surgi la necesidad de describir en VHDL todos los ASIC creados por el Departamento de Defensa, en 1993 se adopt el est ndar adicional de VHDL IEEE1164.

1.5.2 VENTAJAS DEL DESARROLLO DE CIRCUITOS INTEGRADOS CON VHDL


Notacin formal: Permite su uso en cualquier diseo electrnico. Disponibilidad publica: VHDL es un est ndar no sometido a patente por lo que cualquier empresa o institucin lo puede utilizarla sin restricciones. Independencia tecnol gica de dise o: VHDL se dise o para soportar diversas tecnolog as de diseo (PLD, FPGA, ASIC, Etc.)

Independencia de la tecnolog a y proceso de fabricaci n: VHDL se creo para que fuera independiente de la tecnolog a y del proceso de fabricacin del circuito o del sistema electrnico. Capacidad descriptiva en distintos niveles de abstraccin: ofrece simulacin multinivel.

Uso como formato de intercambio de informaci n: Permite el intercambio de informacin a lo largo de todas las etapas del proceso de diseo. Independencia de los proveedores: Permite que las descripciones o modelos generados en un sitio sean accesibles desde cualquier otro.

Reutilizacin del cdigo: permite reutilizar los c digos en diversos dise os independiente de la tecnologa (cmos, bipolar, etc..) para la que fueron generados e implementaci n (FPGA; ASIC, etc.)

Facilitaci n de la participaci n en proyectos internacionales: En la actualidad VHDL constituye el lenguaje est ndar de referencia a nivel internacional, impulsando en sus inicios por el Departamento de Defensa de los Estados Unidos, uso obligatorio para el modelado de los sistemas y la documentaci n del proceso de dise o. En Europa la situacin es similar, de echo el n mero de usuarios VHDL en Europa es mayor al de Estados Unidos.

1.5.3 Desventajas del desarrollo de circuitos integrados en VHDL


El uso de una herramienta propuesta por alguna compa a en especial tiene caracter sticas adicionales al lenguaje con lo que se pierde la libertad de diseo Se pretende que entre dise adores que utilizan las distintas herramientas exista una compatibilidad entre sus dise os , sin que esto requiera un esfuerzo importante en la traduccin del cdigo.

Debido a que VHDL es un lenguaje diseado por un comit, presenta alta complejidad por lo que resulta un lenguaje difcil de aprender para un novato

1.5.4 VHDL en la actualidad


La actividad que se ha generado entorno a VHDL es muy intensa, en Espa a se han creado grupos de trabajo que realizan conferencias reuniones etc..,foros en Estados Unidos y Europa. El proceso de estandarizaci n no se detuvo con la primera versi n del lenguaje 87 si no que ha ido continuando con la nueva versin 93 y constantes actualizaciones, mejoras y metodologas de uso.

1.6 COMPAIAS DE SOPORTE EN HARDWARE Y SOFTWARE


Existen diversas compa as que fabrican PLD s algunas ofrecen productos con caracter sticas generales y otras introducen innovaciones en sus dispositivos A continuaci n se mencionan algunas de las mas importantes:

ALTERA CORPORATION Una de las mas importantes compa as de PLD S que ofrece 8 familias en el mercado La capacidad de integraci n de cada familia var a desde 300 hasta 1000,000 de compuertas utilizables por el dispositivo

Caractersticas generales de los dispositivos Frecuencia de operacin del circuito superior a las 175 Mhz y retardos de pin a pin de menos de 5ns. Implementacin de bloques de arreglos integrados (EAB) los cuales contienen funciones aritm ticas como Multiplicadores, ALU y secuenciadores. Y funciones complejas con DSP (procesadores digitales de seales).

Programaci n del sistema ISP que permite programar los dispositivos montados en la tarjeta. Mas de 40 tipos y tama os de encapsulado incluyendo el TQFP (thin quad flat pack ) Operacin multivoltaje, entre los 5 y 3.3 volts para mximo funcionamiento y 2.5 en sistemas hbridos.

Potentes herramientas de software MAX+PLUS II que soporta todas las familias de dispositivos Altera as como el est ndar compatible con VHDL.

CYPRESS SEMICONDUCTOR

Ofrece una amplia variedad de CPLD S en dos familias; Ultra 37000 y FLASH370i. Cada una de estas familias ofrece la reprogramacin en sistema (ISR) la cual permite reprogramar el dispositivo las veces que se quiera dentro de la tarjeta

Voltajes de operacin de 5 o de 3.3v y en su interior contienen desde 32 hasta 128 macroceldas. Ofrece su poderoso programa Warp basado en VHDL que simula de manera grafica el circuito programado

CLEAR LOGIC En 1998 introdujo los dispositivos lgicos procesados por lser (LPDL) lo que reemplaz a la Compaa Altera pero a un costo y tama os menores, esta tecnologa puede disponer de arriba de 1000,000 de transistores para construir alrededor de 512 macroceldas. Primeras familias CL7000 Y CL7000E.

MOTOROLA

Empresa l der en comunicaciones y sistemas electr nicos ofrece dispositivos FPGA y FPAA (Field Programmable Array Analog) estos dispositivos son los primeros campos programables para aplicaciones anal gicas utilizados en transporte, redes, computaci n y telecomunicaciones.

XILINX Lider en soluciones de lgica programable incluyendo C.I avanzados, herramientas en software para dise o, funciones predefinidas y soporte de ingeniera. Esta compa a invent los FPGA dispositivos que ocupan m s de la mitad del mercado mundial de PLDS.

Reducen de manera significativa el tiempo requerido para desarrollar aplicaciones en las reas de: computaci n, telecomunicaciones, redes, control industrial instrumentaci n, aplicaciones militares y para el consumo general.

Las familias de CPLD XC95000 y XC9500XL proveen dispositivos programables con caractersticas de 5 a 3.33 volts de operacin , 36 a 288 macroceldas, 34 a 192 terminales de entrada y salida , y programacin en sistema.

Las familias XC4000 y XC1700 de FPGA manejan voltajes de operaci n entre los 5 y 3.3 volts, una capacidad de integraci n arriba de las 40000 compuertas y programacin en sistema. Referente al software desarroll un importante herramienta llamada Foundation Series que soporta dise os est ndares basados en ABEL-HDL y en VHDL ofrece una versin estudiantil y profesional.

Futuro de la lgica programable Algunas compa as buscan funcionalidad e integracin de los circuitos a fin de competir en el mercado de los ASIC. Esto mejorar a el costo por volumen, el ciclo de diseo y se disminuir el voltaje de consumo.

Mejorar la reprogramacin de los circuitos debido a que su implementacin requiere muchos recursos f sicos y tecnol gicos, por esta raz n se busca cambiar las metodolog as de dise o para incluir sistemas reprogramables por completo.

Algunos desarrollos cuentan con memoria RAM o microprocesadores integrados en la tarjeta de programacin. La tendencia de algunos fabricantes es integrar estos recursos en un circuito.

COMPAIAS DE SOPORTE DE LOGICA PROGRAMABLE.

COMPAIA

PRODUCTOS DE HARDWARE

HERRAMIENTAS SOFTWARE

Altera

FPGA: Familias APEX MAX + PLUS II: 20K, FLEX 10K, FLEX Soporta VHDL Verilog 6000, y entrada esquemtica MAX 9000, MAX 7000, MAX 5000 Y CLASSIC LPGA (Laser Program Gate Array): CX3000, CX2000 y QYH500 QuICk Place&route: Diseos en base a vectores de prueba (CTV, Chip Express Test Vector) y VHDL Desarrollos basados en Altera.

Chip Express

Clear Logic

LPLD (Laser-processed Logic Device): CL7000, CL7000E, CL7000S

Cypress Semiconductors PLD: GAL22V10

WARP: Soporta VHDL,

COMPAIA

PRODUCTOS DE HARDWARE

HERRAMIENTAS SOFTWARE

Motorola

FPAA (Field Programmable Analog Array): MPAA020 FPGA: Familias MACH4 y MACH5

WARP: Soporta VHDL, Verilog y esquemticos.

Vantis

Easy analog: herramientas de diseo interactiva exclusiva para diseo con FPAA. MACHXL: VHDL Y Verilog

Quick-Logic

PAsic (Asic Programable) y la familia QL de FPGA

Xilinx

CPLD: Familia XC9500 Xilinx Foundation Series: soporta ABEL-HDL, y y XC9500XL FPGAs familia XC400 y esquemtica. XC1700

También podría gustarte