Está en la página 1de 70

Introducción

VHDL permite modelar sistemas digitales de manera jerárquica


■ Separa la definición de un componente en 2 partes: – la interfaz externa – la
descripción del “funcionamiento” o estructura interna
■ Los modelos pueden ser:
– De estructura en base a otros componentes
– de comportamiento
■ Recordar que no se esta escribiendo software, sino que se esta
DESCRIBIENDO hardware.
Objetivos
-Ver mediante el diseño en VHDL para FPGAs. veremos
mediante un ejemplo de modelado, el flujo de diseño utilizando
la herramienta ISE (Integrated Software Environment) de la
firma comercial Xilinx. Con el objetivo de familiarizarse con la
herramienta anteriormente mencionada.

-Corroborar la lista de los pasos necesarios en el proceso de


diseño para FPGAs particularizado para los dispositivos de la
firma comercial Xilinx.
b. Sintetizar e implementar diseños basados en FPGAs.
c. Detectar y corregir errores sintácticos en código especificado
en VHDL.
d. Simular modelos en VHDL para extraer tanto su
comportamiento funcional como temporal.
e. Comprobar el correcto funcionamiento del modelo en la placa
de pruebas.

-Crear una simulación con Sofeware de Tina, ver que se integre


la lógica de nuestro FPG con el Tina
1. Flujograma de Entornos de FPGA
Indice 2.
3.
Descarga de Instalador Xilinx
Instalación de Entorno de programación Vivado (ISE)
4. Plataformas de Desarrollo de Xilinx
5. Lenguajes de Programación de Vivado (ISE)
A. Encabezado de Verilog
B. Sistemas de Verilog
C. VHDL
6. Librerías VHDL
7. Practica 1 - Implementación y desarrollo de entorno de Programación Vivado
8. Descarga de Instalador de Tina Software de Simulación
9. Desarrollo de Practica 2 en ISE y simulación con Tina
CREACION DE PROYECTOS
PARA FPGA
Flujo de diseño de una FPGA

A3
-Fluo de diseno: Sintesis

-Fluo de diseno: Implementacion


Place Your Picture Here
Flujo de
Example Text : Get a modern PowerPoint Presentation that is

Diseño
-Flujo de diseño: Simulación , beautifully designed. I hope and I believe that this Template will
temporal your Time, Money and Reputation. Get a modern PowerPoint
Presentation that is beautifully designed. I hope and I believe
that this Template will your Time, Money and Reputation. You
can simply impress your audience and add a unique zing and
appeal to your Presentations.
-Flujo de diseño: Generación del
fichero de configuración
Pasos descarga e instalación de VIVADO
Xilinx es una empresa especializada en el desarrollo de los FPGA, aparte de ello también licencian código de
descripción del hardware como IPs que cargar en sus FPGA y que tienen funciones específicas dentro de diferentes
ámbitos.

Xilinx ISE (Integrated Synthesis Environment) es una herramienta de software discontinuada de Xilinx para
la síntesis y el análisis de diseños HDL, cuyo objetivo principal es el desarrollo de firmware integrado para las
familias de productos de circuitos integrados (IC) FPGA y CPLD de Xilinx. Su sucesora es Xilinx Vivado.

Contents
Performance
VIVADO CARACTERISTICAS

Vivado ML Standard : Vivado ML Standard Edition es la versión GRATUITA de la revolucionaria suite de diseño. Brinda
acceso instantáneo a algunas funciones y funciones básicas de Vivado sin costo alguno.
Vivado ML Enterprise:  Vivado ML Enterprise Edition es una versión paga de la suite de diseño e incluye soporte para
todos los dispositivos Xilinx. Puede comprar seleccionando "Enterprise" en el menú desplegable "Edición".
Pasos para Descarga del VIVADO

https://www.xilinx.com/support/download.html
Pasos para Descarga del VIVADO

Seleccionamos crear cuenta


Pasos para Descargar software VIVADO

Formulario de Apertura de Cuenta

Nota: Tomar en cuenta que el correo que


solicita este formulario debe de ser de un
dominio corporativo. (No Gmail, Yahoo, Hotmail)

Una vez hemos llenado


todos los campos
pulsamos el botón de
enviar.
Pasos para Descarga software VIVADO
Una vez enviado nuestro formulario según requerimientos nos saltara a otro formulario de validación

Se nos enviara una contraseña de Acceso a


nuestro correo (Access Token) que será
como el ejempló de la imagen de imail.

Una vez terminado el procedimiento de llenado de este


formulario pulsaremos en activar cuenta.
Pasos para Descarga software VIVADO

Una vez concluido nuestro registro procedemos a ingresar con nuestro usuario y contraseña
Pasos para Descarga software VIVADO

Vista de inicio de Sesión


Ya con nuestro sitio de acceso habilitado solo nos quedara por actualizar nuestra información de perfil para
terminar el procedimiento requerido por Xilinx.

Para ello seleccionamos Profile Settings (Configuración de Perfil).

Es obligatorio llenar el formulario de


configuración de nuestro perfil. Para ello
pulsaremos la opción (Profile Settings). Al
seleccionar esta opción nos abrirá una
pantalla con dos formularios uno de
información Personal y otro de cambiar la
contraseña, en nuestro caso solo
requerimos actualizar la información
personal (Selección con * en el formulario
es obligatorio llenar la casilla.
Pasos para Descarga software VIVADO

Formulario de Perfil de Usuario Una vez actualizado nuestro perfil de usuario nos vamos a la sección baja de
la pagina y seleccionamos descarga de Licencias
Pasos para Descarga software VIVADO

En este apartado seleccionamos descarga del instalador de Xilinx que corresponda al


sistema operativo de nuestra PC.

Una vez descargado el instalador podemos proceder a la


Instalación en modo de ejecución como administrador.
Es importante menciona que para poder instar este software se
requiere correo y contraseña de usuario de Xilinx.
Pasos para Descarga software VIVADO

Seleccionar permitir acceso en la alerta de seguridad de Windows


Pasos para Descarga software VIVADO

Seleccionar next para continuar el proceso de instalacion


Pasos para Descarga software VIVADO
Tenemos que colocar nuestro correo y nuestro password de usuario de XILINX y seleccionamos next
Pasos para Descarga software VIVADO
Seleccionamos la opción de vivado que la que se necesita para la programación FPGA y seleccionamos next
Pasos para Descarga software VIVADO

Luego seleccionamos la opción de vivado ML Standard que la opción gratis y seleccionamos next
Pasos para Descarga software VIVADO
Seleccionamos en Divices los productos que necesitamos y le seleccionamos next
Pasos para Descarga software VIVADO
Marcamos los cuadros aceptando los términos de Licencia y le seleccionamos next
Pasos para Descarga software VIVADO
En este paso nos indica la localización de directorios y los requerimientos de espacio en el disco duro

Después de seleccionar Next nos indicara


que no hay una dirección para las librerías y
nos dará la opción de crear una nueva y
seleccionamos la opción “Yes”
Seleccionamos yes
Pasos para Descarga software VIVADO
Aquí nos indica un breve resumen de los paquetes que instalara
Y le damos clic en la opción de Install
Pasos para Descarga software VIVADO
Para iniciar la instalación nos pedirá poner el usuario y contraseña de XILINX ,al colocar la contraseña
seleccionamos la opción de Login y comenzara la instalación
Pasos para Descarga software VIVADO

Al finalizar la instalación debemos instalar Winpcap Le damos clic la opción de Install


Pasos para Descarga software VIVADO

Esperamos que complete el proceso de Instalación


Pasos para Descarga software VIVADO

Para terminar, nos solicitara los permisos de seguridad y seleccionamos la opción de redes
privadas, como las domesticas o del trabajo y le damos clic en permitir acceso

Desmarcamos la opción
de redes publicas
Evolucion de la FPGA
Evolución de la FPGA
Nexys A7 CARACTERISTICAS
• 15,850 segmentos lógicos programables,

Artix-7™
cada uno con cuatro LUT de 6 entradas y 8
flip-flops (*8150 segmentos)
• 4,860 Kbits de RAM de bloque rápido (*2700
Kbits)
• Seis mosaicos de gestión de reloj, cada uno
con bucle de sincronización de fase
(PLL)240 segmentos DSP (*120 DSP)
• Velocidades de reloj internas superiores a
450 MHz
• Convertidor analógico-digital interno (XADC)
de dos canales, 1 MSPS.
Basys 2 CARACTERISTICAS
• Tecnología de proceso avanzada probada de 90 nanómetros.

Spartan-3E™
• Pines de interfaz SelectIO™ multivoltaje y multiestándar.
• Hasta 376 pines de E/S o 156 pares de señales diferenciales.
• Señal de un solo extremo LVCMOS, LVTTL, HSTL y SSTL
normas.
• Señalización de 3,3 V, 2,5 V, 1,8 V, 1,5 V y 1,2 V.
• Velocidad de transferencia de datos de más de 622 Mb/s por
E/S.
• Verdadero LVDS, RSDS, mini-LVDS, diferencial
HSTL/SSTLE/S diferencial.
• Compatibilidad con doble velocidad de datos (DDR)
mejorada.
• Compatibilidad con DDR SDRAM de hasta 333 Mb/s
• Abundantes recursos lógicos flexibles
• Densidades de hasta 33 192 celdas lógicas, incluido el
desplazamiento opcional registro o soporte de RAM
distribuida
Configuración de la FPGA
Tipos de VHDL
Configuración de la FPGA
DISEÑO UTILIZANDO VHDL
VHDL
Ejemplo de una Entidad
VHDL
Ejemplo de Arquitectura
Configuración de la FPGA
Ejemplo de modelo funcional
Configuración de la FPGA
Ejemplo de modelo Estructural
Configuración de la FPGA
Configuración de la FPGA
Constantes

Señales
Configuración de la FPGA
Variables Ejemplo de un Paquete
Configuración de la FPGA
Librería Estandar IEEE

La librería estándar “ieee” contiene el paquetes


“std_logic_1164” que aunque no es parte del lenguage es
tan utilizado que es casi como si lo fuera.
1. Describe los tipos:
– std_logic: describe señales de 1 bit
– std_logic_vector: arreglo de std_logic = varios bits o
“bus”
2. Para poder utilizarlos se escribe:
library ieee;
use ieee.std_logic_1164.all; -- Logica estánda
Configuración de la FPGA
Tipos std_logic y std_logic_vector

Son los tipos que utilizaremos para modelar señales en


nuestros diseños
1. Declaracion – signal nombre1 : std_logic; – signal
nombre2 : std_logic_vector(15 downto 0).

2. Valores posibles usados para sintesis


(simplificando) – ‘1’, ‘0’, ‘Z’ .

3. Asignacion – nombre1 <= ‘1’; – nombre1 <= ‘0’; –


nombre1 <= ‘Z’; – nombre2 <= “01010101”; – Nombre2 <=
“ZZZZZZZZ”;
VHDL
Valores Posibles para un objeto std_logic

Detección de flancos de reloj


Lista de Palabras Reservadas para VHDL
Instalando TINA software
A13
Instalando TINA software
Ingresamos nuestros datos y seleccionamos el tipo de software que necesitamos
Instalando TINA software
Recibimos un correo con el link para la descarga del demo
Instalando TINA software
Ejecutamos el link del correo, y nos lleva a esta pagina donde seleccionamos la version del programa según los
requerimientos de nuestra PC y procedemos con la descarga de archive ejecutable.
Instalando TINA software
Escogemos el idioma, presionamos “siguiente” e inicia el proceso de instalación
Instalando TINA software
Presionamos “siguiente” y luego ingresamos nuestro usuario y password, posteriormente presionamos “siguiente’.
Instalando TINA software
Escogemos la Plataforma ideal, segun las caracteristicas de nuestro ordenador, luego, “siguiente’.
Tambien escogemos la ubicacion o destino del software en nuestro ordenador.
Instalando TINA software
El software creará una carpeta para la instalación, “siguiente”. Mantenemos las direcciones de carpetas y
presionamos “siguiente’.
Instalando TINA software
Escogemos la norma de preferencia y luego “siguiente” dos veces.
Instalando TINA software
Inicia la instalación y al terminar nos pregunta si queremos un acceso directo en el escritorio de nuestro ordenador.
Instalando TINA software
Finalizamos y el software queda listo para utilizarse.
Evolucion de la FPGA
Fully Editable Shapes
Lenguaje VHDL
A6
Lenguaje VHDL
A7
Lenguaje VHDL
A8
Flujo de Diseño de una FPGA
A4

También podría gustarte