Está en la página 1de 8

“Saber para ser”

ESCUELA SUPERIOR POLITECNICA DE CHIMBORAZO

SÍLABO INSTITUCIONAL

1. INFORMACIÓN GENERAL

FACULTAD INFORMÁTICA Y ELECTRÓNICA


INGENIERÍA ELECTRÓNICA EN CONTROL Y REDES
ESCUELA
INDUSTRIALES
INGENIERÍA EN ELECTRÓNICA, CONTROL Y
CARRERA
REDES INDUSTRIALES
SEDE MATRIZ ESPOCH
MODALIDAD PRESENCIAL
SÍLABO DE SISTEMAS DIGITALES II
NIVEL TERCERO
PERÍODO ACADÉMICO OCTUBRE 2014 – FEBRERO 2015

ÁREA CÓDIGO NÚMERO DE CREDITOS

BÁSICA ESPECÍFICA IEC13211 4


NÚMERO HORAS
PRERREQUISITOS CORREQUISITOS
SEMANAL
4 IEC12202

NOMBRE DEL DOCENTE WILSON OSWALDO BALDEÓN LÓPEZ


NÚMERO TELEFÓNICO 0999345064
CORREO ELECTRÓNICO wbaldeon@espoch.edu.ec
TÍTULOS ACADEMICOS DE
INGENIERO EN ELECTRÓNICA
TERCER NIVEL
TÍTULOS ACADEMICOS DE
MASTER EN INFORMÁTICA
CUARTO NIVEL

NOMBRE DEL DOCENTE LUIS MIGUEL SÁNCHEZ MUYULEMA


NÚMERO TELEFÓNICO 0984052750
CORREO ELECTRÓNICO lmsanchez@espoch.edu.ec
TÍTULOS ACADEMICOS DE INGENIERO EN ELECTRÓNICA CONTROL Y
TERCER NIVEL REDES INDUSTRIALES
TÍTULOS ACADEMICOS DE
CUARTO NIVEL

Página 1 de 8
2. DESCRIPCION DE LA ASIGNATURA

2.1 IDENTIFICACIÓN DEL PROBLEMA DE LA ASIGNATURA EN


RELACIÓN AL PERFIL PROFESIONAL

Falta de conocimientos en el diseño y análisis de máquinas secuenciales


sincrónicas a través de la síntesis de algoritmos de hardware digital
mediante diagramas de estado y cartas ASM, además de la falta de
conocimientos en programación del lenguaje de descripción de hardware
para circuitos de muy alta velocidad (VHDL).

2.2 CONTRIBUCIÓN DE LA ASIGNATURA EN LA FORMACIÓN DEL


PROFESIONAL

El estudiante obtiene los criterios fundamentales para el análisis y


diseño de máquinas secuenciales síncronas tanto a nivel hardware
como software, lo que permitirá que implemente esta clase de sistemas
en la solución de problemas reales, aportante así sus conocimientos a la
sociedad.

3. OBJETIVOS GENERALES DE LA ASIGNATURA

1. Diseñar maquinas secuenciales síncronas mediante el lenguaje de


descripción de hardware para circuitos de muy alta velocidad (VHDL),
diagramas de estado y cartas ASM.
2. Sintetizar algoritmos mediante diagramas de estado y cartas ASM para
aplicar en el diseño de máquinas secuenciales síncronas.
3. Programar secuencias básicas en lenguaje VHDL e implementar el
hardware digital de los diseños de máquinas secuenciales.
4. Aplicar los conocimientos adquiridos con responsabilidad y ética
profesional.

4. PROGRAMA ANALÍTICO

UNIDADES OBJETIVOS TEMA

 El Latch básico
 Retardos de
propagación
 Latch asincrónico: S-
R, D, T, J-K, maestro
esclavo

Página 2 de 8
 El multiplexor con
Latch
 Diseño y conversión
FLIP - FLOPS Análisis y diseño de de Latch
Latch y Flip-Flops  El reloj, tipos y
tiempos: Tsu, Th
 Flip-Flop D, D maestro
esclavo
 Flip-Flops disparados
por flanco: T, J-K, J-K
maestro esclavo
 Latch vs Flip-Flops
 Diagramas de estado
de Flip-Flops
 Registros con Flip-
Flops

Diseñar Flip-Flops mediante el  Diseño lógico


Diseño de Flip- lenguaje de descripción de secuencial
Flops con VHDL hardware VHDL  Flip-Flops: D, T, J-K
 Registros con Flip-
Flops

 Diagramas de estado
 Clases de máquinas
A, B, C, D, E
Maquinas Diseñar máquinas  Diseño de máquinas
Secuenciales secuenciales síncronas secuenciales
Síncronas mediante diagramas de estado síncronas mediante
diagramas de estado
 Contadores
 Registros

 Generalidades
 Introducción a Quartus
II de ALTERA.
 VHDL organización y
Introducción al Conocer la estructura básica arquitectura
lenguaje de de VHDL y analizar los  Operadores y
descripción de módulos básicos de diseño: expresiones
hardware VHDL palabras reservadas, tipos de  Tipos de datos
datos, arquitecturas y  Atributos
estructura de datos.  Declaración de
constantes
variables y
señales

Página 3 de 8

 Declaración de
entidad y
arquitectura

 Programación de
Describir la utilización de estructuras básicas
declaraciones concurrentes y mediante
Diseño lógico secuenciales dentro de un declaraciones
combinacional con programa VHDL mediante el concurrentes
VHDL diseño de circuitos  Programación de
combinacionales estructuras básicas
mediante
declaraciones
secuenciales

 Simbología ASM
 Diseño de
Diseño de controladores
controladores Diseñar controladores digitales secuenciales
digitales mediante mediante diagramas ASM y síncronos mediante
ASM y VHDL VHDL diagramas ASM
 Implementación de
diagramas ASM con
VHDL

5. ESTRATEGIAS METODOLÓGICAS

 Demostrativo Científico y Práctico


 Investigativo

6. USO DE TECNOLOGÍA
Equipos:
 Computadores con software de simulación Quartus II, Proteus
Materiales:
 Herramientas software: VHDL, Proteus.
 Dispositivos y componentes electrónicos digitales (TTL y CMOS)

Página 4 de 8
7. RESULTADO O LOGROS DE APRENDIZAJE

RESULTADOS O LOGROS CONTRIBUCIÓN EL ESTUDIANTE SERÁ


DEL APRENDIZAJE (ALTA, MEDIA, CAPAZ DE
BAJA)
a) Fortalecer el pensamiento Aplicar los conocimientos
lógico deductivo para la para la resolución de
resolución de problemas problemas de diseño de
dentro de la carrera de MEDIA máquinas secuenciales
Ingeniería en Electrónica sincrónicas que involucran a
Control y Redes la lógica binaria y la
Industriales. informática.
b) Identificar y analizar Analizar problemas de
problemas para determinar diseño de máquinas
posibles soluciones. MEDIA secuenciales síncronas para
determinar posibles
soluciones.
c) Implementar de manera Implementar de manera
eficaz la solución de eficaz la solución de
problemas dentro de la problemas de diseño de
carrera de Ingeniería en MEDIA máquinas secuenciales
Electrónica Control y síncronas dentro de la
Redes Industriales. carrera.
Contrastar los conocimientos
d) Manejar las diferentes teóricos con las
herramientas tecnológicas herramientas de simulación
para su aplicación en la como VHDL y equipo digital
resolución de problemas MEDIA de hardware en la aplicación
de su carrera de Ingeniería para la resolución de
en Electrónica Control y problemas de diseño de
Redes Industriales. máquinas secuenciales
síncronas.
e) Desarrollar su capacidad Desarrollar e integrar
de integración en equipos proyectos en forma efectiva,
multidisciplinarios de en equipos de trabajo como
trabajo, alcanzando un MEDIA elemento fundamental en el
enfoque holístico en la diseño e implementación de
resolución de problemas. máquinas secuenciales
síncronas.
Aplicar la responsabilidad
f) Demostrar un profesional, investigativa y
comportamiento ético, con personal, en la elaboración
MEDIA
eficacia y eficiencia de proyectos y trabajos,
profesional. sean éstos individuales o
grupales.
g) Utilizar la comunicación Identificar y usar de manera
oral, escrita, gráfica y efectiva las normas de

Página 5 de 8
electrónica contribuyendo BAJA
comunicación oral, escrita,
en el desarrollo
gráfica y electrónica.
profesional.
Experimentar a través de
h) Desarrollar habilidades,
prácticas y talleres, un
destrezas y aptitudes para
MEDIA aprendizaje en forma
construir conocimiento.
individual y colectiva.
i) Conocer los entornos
relacionados con su perfil BAJA Identificar temas y asuntos
profesional. vinculados con la realidad.

8. AMBIENTES DE APRENDIZAJE

 Talleres: Trabajo individual y en equipos para resolver en forma práctica


problemas relacionados con los conocimientos alcanzados
 Investigación: Trabajos individuales y en equipo que permitan construir
conocimiento con el apoyo de los sistemas de información y como la
participación responsable, diálogo y discusión entre los estudiantes.
 Simulación por computadora: mediante el empleo de herramientas de
software apropiadas que permitan a los estudiantes elaborar y evaluar la
solución planteada para un circuito eléctrico.

9. SISTEMA DE EVALUACIÓN DE LA ASIGNATURA

ACTIVIDADES A PRIMER SEGUNDO TERCER EVALUACIÓN


SUSPENSIÓN
EVALUAR PARCIAL PARCIAL PARCIAL PRINCIPAL
Exámenes 12 20
Lecciones 4 5 5
Tareas
1 1 1
Individuales
Informes
Fichas de
Observación
Trabajo en
2 2 2
equipo
Trabajo de
1
Investigación
Portafolios 1
Aula Virtual 1 1 1
Otros
TOTAL 8 10 10 12 20
PUNTOS PUNTOS PUNTOS PUNTOS PUNTOS

Página 6 de 8
10. BIBLIOGRAFIA

BASICA
 Tokheim, R. (2008). Electrónica Digital: principios y aplicaciones (7a ed.).
España: McGraw-HilI Interamericana.
 Brown, S., & Vranesic, Z. (2006). Fundamentos de lógica digital con
diseño VHDL (2a ed.). España: McGraw-HilI Interamericana.
COMPLEMENTARIA
 Pardo, F., & Boluda, J. (2011). VHDL Lenguaje para Síntesis de
Modelado de Circuitos (3a ed.). Valencia: RA-MA EDITORIAL
 Acha, S., Castro, M., Pérez, J., & Rioseras M. (2010). Electrónica Digital:
Lógica Digital Integrada teoría, problemas y simulación (2a ed.). México:
Alfaomega.
LECTURAS RECOMENDADAS
 Rovira Alex (2005). La brújula Interior, Empresa Activa
WEBGRAFÍA
 http://search.ebscohost.com/login.aspx?
direct=true&db=bwh&AN=201404031536PR.NEWS.USPR.SF97912&lang=
es&site=ehost-live
 http://search.ebscohost.com/login.aspx?
direct=true&db=fua&AN=70148212&lang=es&site=ehost-live
 http://search.ebscohost.com/login.aspx?
direct=true&db=a9h&AN=65091573&lang=es&site=ehost-live
 http://search.ebscohost.com/login.aspx?
direct=true&db=fua&AN=85708520&lang=es&site=ehost-live

______________________ _________________________
FIRMA DEL DOCENTE FIRMA DEL COORDINADOR
DE LA ASIGNATURA DE ÁREA

_________________________
FIRMA DEL DIRECTOR DE
ESCUELA

Página 7 de 8
LUGAR Y FECHA DE
Riobamba, 22 de Septiembre de 2014
PRESENTACIÓN

Página 8 de 8

También podría gustarte