Está en la página 1de 13

UNIVERSIDAD NACIONAL DE HUANCAVELICA

UNIVERSIDAD NACIONAL
DE HUANCAVELICA
FACULTAD DE INGENIERÍA ELECTRÓNICA -
SISTEMAS
ESCUELA PROFESIONAL DE INGENIERÍA
ELECTRÓNICA

CURSO: CIRCUITOS DIGITALES II

DOCENTE: Mg. Ing. QUISPE CHAMBI JHON

ACTIVIDAD: MEMORIA

ALUMNO: CONDORI APARCO SAID

SEMESTRE: 2020 - II

INTRODUCCION

CONDORI APARCO, SAI


UNIVERSIDAD NACIONAL DE HUANCAVELICA
2

La memoria es un proceso psicológico que sirve para almacenar información


codificada. Sin embargo, cuando la memoria falla, ya sea de manera circunstancial y
momentánea, ya sea de manera permanente, el individuo se da cuenta, en medio
de la frustración, de su importancia.

Placa de componentes
Es la estructura que soporta los demás componentes y las pistas eléctricas que
comunican cada una de las partes de estas.

Cada una de estas placas forman un módulo de memoria RAM. Cada uno de estos
módulos contarán con una capacidad de memoria determinada según los existentes
en le mercado.

Bancos de memoria
Son los componentes físicos encargados de almacenar los registros. Estos bancos
de memoria lo forman chips de circuitos integrados que están compuestos en su
interior por transistores y capacitores que forman celdas de almacenamiento. Estos
elementos permiten almacenar bits de información dentro de ellos.

Para que la información permanezca dentro de los transistores será necesaria una
alimentación eléctrica periódica en ellos. Es por esto que cuando apagamos nuestro
ordenador esta memoria queda completamente vacía.

Esta es la gran diferencia que existe por ejemplo entre la memoria RAM y las
unidades de almacenamiento SSD.

Cada módulo de memoria RAM cuenta con varios de estos bancos de memoria
separados físicamente mediante chips. De esta forma es posible el acceso a la
información de uno de ellos mientras que otro se está cargando o descargando.

Reloj
Las memorias RAM síncronas cuentan con un reloj que se encarga de sincronizar
las operaciones de lectura y escritura de estos elementos. Las memorias asíncronas
no llevan est tipo de elemento integrado.

CONDORI APARCO, SAI


UNIVERSIDAD NACIONAL DE HUANCAVELICA
3
Chip SPD
El chip SPD es el encargado de almacenar datos relativos al módulo de memoria
RAM. Estos datos son el tamaño de la memoria, el tiempo de acceso, velocidad y el
tipo de memoria. De esta forma el ordenador conocerá que memoria RAM tiene
instalada en su interior al chequear esta durante el encendido.

Bus de conexión
Este bus compuesto por contactos eléctricos y es el encargado de permitir la
comunicación entre el módulo de memoria y la placa base. Gracias a este elemento
dispondremos de módulos de memoria separados de la placa base pudiendo con
ello ampliar la capacidad de memoria mediante modulo nuevos.

Bloques de memoria

En los sistemas computacionales es necesario proveer una cantidad substancial de


memoria. Si un sistema se implementa usando tecnología reconfigurable, la cantidad
de memoria disponible se limita a aquella provista por los recursos del dispositivo
reconfigurable. Si se requiere memoria adicional, es necesario ocupar dispositivos de
memoria externos interfaz dos a nuestro diseño. En esta sesión se examinarán los
problemas generales que se´ presentan cuando se implementan bloques de memoria
en un sistema reconfigurable.
módulo de memoria de acceso aleatorio (RAM por sus siglas en´ ingles) que se
implementar´ a en esta sesión. Este módulo contiene 32 palabras (filas) de ocho bits,
las cuales se accedan a través de un puerto de direcciones de cinco bits, un puerto
de datos de ocho bits, y una señal de control de escritura. Consideraremos dos formas
diferentes de implementar este módulo de memoria: usando bloques de memoria
dedicados disponibles en el dispositivo reconfigurable, y usando un dispositivo de
memoria externo.
El dispositivo reconfigurable, de la familia Ciclón II 2C35, incluido en la tarjeta DE2
contiene bloques dedicados de memoria llamados M4K. Cada bloque M4K contiene
4096 bits de memoria, los cuales pueden ser configurados para implementar
memorias de varios tamaños. Un Termino comúnmente usado para especificar el
tamaño de una memoria es la relación de aspecto la cual se especifica mediante dos
números m ×n, donde m es el número de filas o palabras, y n es el ancho de palabra.
Cada bloque M4K puede configurarse como memorias de tamaños 4K ×1, 2K ×2, 1K
×4, y 512×8. Aunque muchos otros modos de operación son soportados por los
bloques M4K, en esta sesión nos limitaremos solo a discutir y analizar las relaciones
de aspecto mencionadas anteriormente. Específicamente, en esta parte se usará un
bloque M4K en modo 512×8.

CONDORI APARCO, SAI


UNIVERSIDAD NACIONAL DE HUANCAVELICA
4

memoria RAM de´ 32×8.

Los bloques M4K presentan dos características adicionales:

1 Cada bloque contiene registros que pueden ser usados para sincronizar las entradas
y salidas a una señal de reloj con un; los registros en los puertos de entrada´ siempre
deben ser usados, y los registros en los puertos de salida son opcionales.

2 Cada bloque M4K tiene puertos separados para escritura y lectura de datos. Dados
los requerimientos anteriores, se implementará un modulo RAM de 32×8 como el
mostrado en la Figura 1b. Se incluirá un registro en los puertos de direcciones, de
datos de entrada, y en la señal de escritura para sincronizarlos con una señal de reloj.
Se usar a un puerto de salida exclusivo para lectura de datos.

Parte I

En un circuito reconfigurable, los bloques de diseño típicos, como sumadores,


registros, contadores y memorias, puede ser implementados usando módulos
parametrizados ( LPM modules), que se encuentran ya definidos en una librería dentro
del IDE Quartus II. El fabricante Altera recomienda usar módulos LPM para
implementar bloques´ de memoria RAM. En esta parte usted usara un módulo LPM
para implementar el bloque de memoria mostrado en la Figura 1b.

CONDORI APARCO, SAI


UNIVERSIDAD NACIONAL DE HUANCAVELICA
5
1. Cree un nuevo proyecto en el IDE Quartus II. Seleccione el dispositivo
EP2C35F672C6 como destino de su diseño.

Figura 2: Seleccion del m´modulo parametrizado´ RAM: 1-PORT.

Figura 3: Configuracion de los puertos de entrada y salida del m´modulo´


RAM: 1-PORT.

2. Compile su diseño. Observe en el reporte de compilacion que el compilador del


Quartus II usa 256 bits de´ uno de los bloques de memoria M4K para implementar
su diseño.
3. Simule el comportamiento de su circuito para asegurarse de que puede leer y
escribir datos del modulo de memoria.

CONDORI APARCO, SAI


UNIVERSIDAD NACIONAL DE HUANCAVELICA
6
Parte II

Ahora, se requiere programar el módulo de memoria en el dispositivo reconfigurable


de la tarjeta DE 2, y usar los´ interruptores para cargar algunos datos en ella. También
se requiere desplegar el contenido leído de la memoria en´ los visualizadores de siete
segmentos.
Parte III

En lugar de instanciar directamente un módulo parametrizado, es posible implementar


el bloque de memoria´ requerido describiendo su estructura en su código VHDL
mediante un arreglo multidimensional. Un arreglo de´ 32×8, que contiene 32 palabras
de 8 bits, puede ser declarado mediante el siguiente fragmento de código. ´

Parte IV

La tarjeta DE2 incluye un dispositivos externo de memoria, con matricula


IS61LV25616AL-10, que es una RAM estatica con capacidad de´ 256K ×16. La
interfaz que nos permite conectar con la RAM externa consiste de un puerto de
direcciones de 18 bits A17−0, un puerto bidireccional de datos de 16 bits E/S15−0, y
varias senales de

control, CE, OE, WE, UB, y LB, descritas en la Tabla 1.

Nombre Descripcion
Chip enable−Habilitacion del dispositivo de memoria externo; activa en
CE bajo durante todas las operaciones
Output enable−Habilitacion del bus de lectura; puede estar activa en bajo
OE solo durante las operaciones de lectura, o durante todas las operaciones
Write enable−Habilitacion del bus de escritura; activa en bajo durante
WE operaciones de escritura´
Upper byte−Activa en bajo cuando se lee o escribe al byte alto de una
UB dirección de memoria
Lower byte−Activa en bajo cuando se lee o escribe al byte bajo de una
LB dirección de memoria´

. Senales de control del momodulo externo RAM.

La operación del IS61LV25616AL se describe en su hoja de especificaciones, la cual


puede obtenerse del disco compacto DE2 System CD que viene con la tarjeta DE2, o
mediante su buscador favorito. La hoja de especificaciones del IS61LV25616AL
describe sus modos de operación y lista los parámetros temporales relacionados a su
operación. Para propósitos de este ejercicio, se seguir a un modo de operación

CONDORI APARCO, SAI


UNIVERSIDAD NACIONAL DE HUANCAVELICA
7
sencillo, donde las señales de control CE, OE, UB, y LB se mantendrán siempre en
bajo, y las operaciones de lectura o escritura seran controladas mediante la entrada
WE. Los diagramas temporales simplificados correspondientes a este modo de
operación. La Parte (a) muestra un ciclo de lectura, el cual empieza cuando una
direccion valida

aparece en A17−0 y la entrada WE se encuentra en alto. El dato en la dirección de


memoria indicada aparece en el´ bus de datos de lectura después de un retardo igual
atAA, que es el tiempo que la memoria se tarda en acezar una dirección de memoria
(address access delay). Cuando el ciclo de lectura finaliza, por un cambio en la
dirección de memoria, el dato leído permanece en el bus de datos por un tiempo).

cycles.

muestra el diagrama de tiempos para un ciclo de escritura. Este inicia cuando la senal
WE pasa de

’1’ a ’0’, y termina cuando la señal WE vuelve a ser La direccion donde se desea
escribir debe permanecer en el puerto de direcciones por un tiempo y el dato a escribir
debe permanecer en el

puerto de datos por un tiempo antes del flanco de subida de la señal WE. lista los
valores maximos y mınimos de todos los parámetros temporales mostrados en la

CONDORI APARCO, SAI


UNIVERSIDAD NACIONAL DE HUANCAVELICA
8
Valor

Parametro Min Max


tAA − 10
ns
tOHA 3 −
ns
tAW 8 −
ns
tSD 6 −
ns
tHA 0 −
tSA 0 −
tHD 0 −

En esta parte, usted implementara la interfaz al dispositivo de memoria externa


IS61LV25616AL. Se recomienda que incluya en su diseño los registros mostrados.
También, asegurese de implementar correctamente´ el puerto de datos bidireccional
que se comunica con la memoria.
1. Cree un nuevo proyecto en el IDE Quartus II. Escriba un programa VHDL que
implemente las senales de control necesarias para realizar las operaciones de
escritura y lectura al dispositivo de memoria externo. Use los mismos
interruptores, LED y visualizadores de siete segmentos de la tarjeta DE2,
ocupados en
las Partes II y III, para implementar las entradas y salidas adecuadas en su
diseño. Las patillas usadas para interfazar su diseño con el dispositivo
IS61LV25616AL en su diseno todas las lineas de direcciones y datos en el
IS61LV25616AL; conecte aquellas líneas no usadas a ’0’ en su diseño.

Puerto en la Patilla en la
SDRAM DE2
SRAM
A17−0 ADDR17−0
I/O SRAM
DQ15−0
SRAM CE N
SRAM OE N
OE
SRAM WE N
WE SRAM UB N

CONDORI APARCO, SAI


UNIVERSIDAD NACIONAL DE HUANCAVELICA
9
SRAM LB N

Asignación de patillas para el modulo de memoria externa en la DE2.

2. Compile su diseño y programe la DE2 con el.


3. Pruebe el correcto funcionamiento de su diseño, escribiendo y leyendo de varias
direcciones de memoria.

Parte V

El bloque de memoria SRAM en la Figura 1 tiene un solo puerto de direcciones para


ambas operaciones de lectura y escritura. En esta parte, usted implementara un tipo
diferente de memoria, en la cual existe un puerto de direcciones para lectura y otro
diferente para escritura. Siga los pasos que a continuacion se listan para implementar
su diseño.

1. Escriba un programa en VHDL que instancie el modulo de memoria con puerto


dual que acaba de crear. Para ver el contenido de la RAM, anada a su diseno la
capacidad de desplazarse continuamente por todas las localidades de memoria.
Su diseño debe de mostrar el contenido de cada localidad de memoria por
aproximadamente un segundo antes de desplazarse a la siguiente. Despliegue la
direccion de memoria del byte leıdo, en formato hexadecimal, usando los
visualizadores de siete segmentos HEX1 y HEX0. Use el reloj de 50 MHz, CLOCK
50, incluido en la tarjeta DE2 como señal de reloj global en su diseno, y el botón
KEY0 como entrada de reinicialización. Use los interruptores, LED, y
visualizadores de siete segmentos´ como se indico en las partes anteriores para
ingresar la direccion de escritura y el dato a escribir. Asegurese de sincronizar
adecuadamente los interruptores de entrada a la señal de reloj de 50 MHz.

CONDORI APARCO, SAI


UNIVERSIDAD NACIONAL DE HUANCAVELICA
10
2. Pruebe que su circuito funciona correctamente y verifique que el contenido inicial
de la memoria coincide con el archivo ramlpm.mif. Asegurese de que pueda
escribir independientemente a cualquier direccion usando los interruptores
adecuados.

Parte VI

La memoria de puerto dual creada en la Parte V permite operaciones simultaneas de


escritura y lectura debido a que posee dos puertos de direcciones. En esta parte,
usted debe de implementar una caracterıstica similar, pero usando un modulo RAM
de un solo puerto. Dado que existir´ a un solo puerto de direcciones, usted tendra que
hacer uso de multiplexores para seleccionar una direccion de lectura o escritura en
un momento dado. Ejecute los siguientes pasos para implementar su diseno.

1. Escriba un programa en VHDL que instancie el modulo de memoria creado.


Incluya en su diseno la habilidad de desplazarse a través de las localidades de
memoria Use los mismos interruptores, LED, y visualizadores de siete segmentos
como en las partes anteriores.

CONDORI APARCO, SAI


UNIVERSIDAD NACIONAL DE HUANCAVELICA
11

Compile su diseño y programe la tarjeta DE2. Pruebe la funcionalidad de su


circuito y asegurese de que´ las operaciones de lectura y escritura funcionan
adecuadamente. Describa las diferencias que observa en el comportamiento de
su diseño con respecto de la implementación.

Para ejecutar una operación de lectura del modulo de memoria mediante la


herramienta´ In-System Memory Content, haga click sobre el ıcono de
memoria con la etiqueta 32x8, y seleccione la opcion´ Read data from In-System

CONDORI APARCO, SAI


UNIVERSIDAD NACIONAL DE HUANCAVELICA
12
Memory. Esta accion causa que el contenido de la memoria se despliegue en la
parte inferior de la ventana.
Experimente cambiando el contenido de algunas direcciones de memoria y
verificando que su contenido es correctamente desplegado en los visualizadores
de siete segmentos y en el editor In-System Memory Content.

Parte VII

programe su tarjeta con este archivo. Corra la aplicacion DE2 Control Panel y
seleccione la pestana SRAM, donde podra escribir y leer datos de direcciones de
memoria´ correspondientes al bloque externo SRAM. Despues de cargar datos a
determinadas direcciones de memoria, usted puede reprogramar su tarjeta DE2 sin
que se pierdan los datos que almaceno en el bloque de memoria externo. Use este
metodo para incializar el bloque de memoria externo RAM, y reprograme su tarjeta
con un diseno como el de las partes anteriores para verificar que es capaz de leer los
datos que almaceno, los cuales se deben de desplegar correctamente en los
visualizadores de siete segmentos y en el editor provisto por la aplicacion DE2 Control
Panel.

CONDORI APARCO, SAI


UNIVERSIDAD NACIONAL DE HUANCAVELICA
13
Conclusión

La memoria es una asombrosa habilidad posiblemente lo más importante para


cualquier ser humano, es su capacidad para almacenar experiencias y poder
beneficiarse de estas mismas en su actuación futura, es un producto de la
evolución del cerebro humano.

Los módulos de RAM, conocidos como memoria RAM son integrantes del
hardware que contiene circuitos integrados que se unen al circuito impreso, estos
módulos se instalan en la tarjeta madre de un ordenador. Las memorias RAM
forman parte de ordenadores, consolas de videojuegos, teléfonos móviles, tablets,
entre otros aparatos electrónicos.

Bibliografía

/memoria-
ram/#:~:text=La%20memoria%20RAM%20es%20la,“Memoria%20de%20Acceso
%20Aleatorio”.

/2018/11/01/memoria-ram/

:text=Las%20ranuras%20de%20memoria%20RAM,les%20denomina%20bancos
%20de%20memoria.&text=DDR2%20RAM%20%3A%20Tiene%20240%20pines,c
ompatibles%20con%20la%20DDR%20RAM.

/basico/la_memoria_ram2.html#:~:text=Entre%20todas%20forman%20el%20bus,b
us%20de%20datos%20del%20procesador.

CONDORI APARCO, SAI

También podría gustarte