Está en la página 1de 8

Universidad Tecnológica de Puebla

Dispositivos Digitales

Multiplexor de 4 canales

Profr M. en C. Jorge García Aguirre

Presenta: Carrasco Perez Yehidi Ali

Matricula: UTP0151574

Cuatrimestre septiembre – Diciembre


MULTIPLEXOR DE 4 CANALES

Es aquel que como su propio nombre indica, tiene cuatro entradas de datos y dos
entrada de selección. Es decir, 2n = 4 entradas de datos, siendo n = 2 entradas de
selección. Hay 4 entradas de datos y 2 entradas de selección, en total 6 entradas.

CIRCUITO LOGICO DEL MULTIPLEXOR


TABLA DE VERDAD DEL MULTIPLEXOR

X3 X2 X1 X0 S1 S0 Y

X X X 0 0 0 0
X X X 1 0 0 1

X X 0 X 0 1 0
X X 1 X 0 1 1

X 0 X X 1 0 0
X 1 X X 1 0 1

0 X X X 1 1 0

1 X X X 1 1 1

.
PROGRAMCION EN VHDL DEL MULTIPLEXOR

---titulo: Programa_03_04
---Descripción: MULTIPLEXOR DE 4 CANALES
---Autor: Yehidi Carrasco Perez

library ieee;
use ieee.std_logic_1164.all;
entity Programa_03_04 is
port
(
X:in std_logic_vector (3 downto 0);
S:in std_logic_vector (1 downto 0);
Y:out std_logic
);
end entity;

architecture funcion of Programa_03_04 is


begin
process (S, X)
begin
case S is
when "00" => Y <= X(0);
when "01" => Y <= X(1);
when "10" => Y <= X(2);
when "11" => Y <= X(3);
when others => Y <= '0';
end case;
end process;
end funcion;
Comprobación del modelsim con la tabla de verdad del circuito a 10 sec

X3 X2 X1 X0 S1 S0 Y
X X X 0 0 0 0

Comprobación del modelsim con la tabla de verdad del circuito a 110 sec

X3 X2 X1 X0 S1 S0 Y
X X X 1 0 0 1
Comprobación del modelsim con la tabla de verdad del circuito a 35 sec

X3 X2 X1 X0 S1 S0 Y
X X 0 X 0 1 0

Comprobación del modelsim con la tabla de verdad del circuito a 225 sec

X3 X2 X1 X0 S1 S0 Y
X X 1 X 0 1 1
Comprobación del modelsim con la tabla de verdad del circuito a 60 sec

X3 X2 X1 X0 S1 S0 Y
X 0 X X 1 0 0

Comprobación del modelsim con la tabla de verdad del circuito a 124 sec

X3 X2 X1 X0 S1 S0 Y
X 1 X X 1 0 1
Comprobación del modelsim con la tabla de verdad del circuito a 174 sec

X3 X2 X1 X0 S1 S0 Y
0 X X X 1 1 0

Comprobación del modelsim con la tabla de verdad del circuito a 888 sec

X3 X2 X1 X0 S1 S0 Y
1 X X X 1 1 1

También podría gustarte