Está en la página 1de 2

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS Ciclo Académico 2015 - I

FACULTAD DE INGENIERÍA ELÉCTRONICA Y ELECTRICA Fecha: 07-07-2015


ESCUELAS ACADEMICO PROFESIONALES Duración: 2 Horas

CURSO: ________DISEÑO DIGITAL__________________________________ COD. CURSO:

TIPO DE PRUEBA: PRACTICA No. Ex. PARCIAL EX. FINAL EX. SUST.

1. PREGUNTA 1: Se desea implementar un procesador monociclo con las soguientes


características:

◦ Un banco de 4 registros de 8 bits c/u (R0,R1,R2 y R3)

◦ Un ALU con las siguientes caracteristicas:

▪ F=A+B

▪ F = not B

▪ F=B

▪ F=B+1

◦ Las operaciones se pueden realizar entre:

▪ Reg = Reg VS Reg

▪ Reg = Reg VS Literal

◦ También se puede acceder a memoria de forma directa sólo para leer o escribir.

 Dibujar la ruta de datos ... 2 puntos

 Encontrar la palabra de comando optimizada .... 3 puntos

 Indicar las instrucciones posibles .... 1 punto

 Indicar las instrucciones para realizar la siguiente operación: D = 3*A – 2*B – C, donde A, B, C
y D corresponden a las direcciones 20H, 21H,22H y 23H de la memoria de datos ..... 2 puntos

 Escribir el código VHDL de la ruta de datos, asuma que existen los componentes
BANCO_REGM, ALU y SRAM .... 4 puntos
2. PREGUNTA 2: Utilizando el componente LPM_RAM_DQ implementar una memoria SRAM de
64 Bytes de capacidad (4 puntos):

3. PREGUNTA 3: Implementar un circuito que convierta 2 dígitos BCD en un binario natural. (4 puntos):

EL PROFESOR

También podría gustarte