Está en la página 1de 7

Universidad politécnica de García Nuevo León

Materia: Programación de sistemas Embebidos


Maestro: Cindy Alemán
Nombre: Jose de Jesus Pineda Santiago
Mecatrónica nocturno 7
Programaremos en Quartus II. Por tanto ejecutamos el software y veremos una pantalla
de trabajo como se muestra en la figura 4.

Fig. 4. Pantalla de trabajo de Quartus II.

Nos vamos al asistente de proyecto, en File > New Project Wizard. Y tendremos la ventana
que se muestra en la figura 5.

Fig. 5. Pantalla del asistente de proyecto.

Después escribimos una carpeta donde guardaremos nuestro trabajo y el nombre del
archivo como se puede ver en la figura 6.

Fig. 6. Especificamos el nombre de proyecto.


..................Salto de columna..................Damos click en siguiente hasta llegar a la página 3
de 5, en la página 2 de 5 no movemos nada. En la página 3 de 5 seleccionamos el dispositivo
a programar que en este caso es un Cyclone 2 modelo ep2c5t144c8, como lo podemos ver
en la figura 7.
Fig. 7. Seleccionamos Cyclone II ep2c5t144c8.

Damos click en siguiente y en EDA Tools no movemos nada y después damos click y en la
página 5 de 5 aparece el resumen del proyecto, como lo podemos ver en la figura 8.

Fig. 8. Resumen del proyecto.

Después damos click en File > New y aparece la ventana que se muestra en la figura 9,
entonces seleccionamos VHDL File, y damos click en Ok.

Fig. 9. Elegimos archivo VHDL.

Tendremos una hoja en blanco y entonces ya podremos escribir el código que


implementara la función lógica combinacional de la figura 3.

Escribimos el código siguiente:

library ieee;
use ieee.std_logic_1164.all; library altera;
use altera.altera_syn_attributes.all;

ENTITY lux IS
port (
F : out std_logic; x1 : in std_logic; x2 : in std_logic; x3 : in
std_logic
);

END lux;

ARCHITECTURE ppl_type OF lux IS BEGIN

F <= (x1 AND x2) OR ( NOT x2 AND x3);

END ppl_type;

En la figura 10 vemos la captura de pantalla del código mostrado.

Fig. 10. código VHDL.

Damos click en Star Compilation es icono se muestra en la figura 11 y tendremos un reporte de


compilación.
..................Salto de columna..................Entonces tendremos la pantalla en donde indicamos que
pines del FPGA le asignaremos a las correspondientes señales, esto lo podemos ver en la figura 13.

Fig. 13. Configuración de los pines.

En este ejercicio asignamos los pines de la siguiente manera:


La salida F en el pin 44. La entrada x1 en el pin 40. La entrada x2
en el pin 42. La entrada x3 en el pin 47.
Realizado esto damos click en el icono Enable Live I/O Check como se ve en la figura 14.

Fig. 13. Activamos los pines I/O.

Después nos vamos a Tools > Programmer


Después asignaremos los pines que servirán de entradas, x1, x2 y x3 y el pin de salida F, para lo
cual damos click en el icono Pin Planner, mostrado en la figura 12.

..................Salto de columna..................Entonces se abre la ventana de programación, asi como se


muestra en la figura 15.

Fig. 15. Ventana de programacion.

Seleccionamos el archivo *.sof, y damos click en Start como lo podemos ver en la figura 16.

Fig. 16. botón de programación.


Después el programa será cargado en el FPGA. Y tendremos el indicativo de 100% exitoso como se
aprecia en la figura 17.

Fig. 17. Programación exitosa.

Por último vemos en las imágenes 18 a 20 fotografías del circuito funcionando.

Fig. 18. FPGA conectados a 3 push-botom y un Led.

Lo primero es probar si al presionar x3 enciende el Led.

Fig. 19. Al presionar x3 enciende el Led.


..................Salto de columna..................

Fig. 20. Al presionar x1 y x2 enciende el Led.


El circuito de la figura 3 cumple la siguiente
tabla de verdad.

También podría gustarte