Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Actividad 8 Ubnidad 2
Actividad 8 Ubnidad 2
Nos vamos al asistente de proyecto, en File > New Project Wizard. Y tendremos la ventana
que se muestra en la figura 5.
Después escribimos una carpeta donde guardaremos nuestro trabajo y el nombre del
archivo como se puede ver en la figura 6.
Damos click en siguiente y en EDA Tools no movemos nada y después damos click y en la
página 5 de 5 aparece el resumen del proyecto, como lo podemos ver en la figura 8.
Después damos click en File > New y aparece la ventana que se muestra en la figura 9,
entonces seleccionamos VHDL File, y damos click en Ok.
library ieee;
use ieee.std_logic_1164.all; library altera;
use altera.altera_syn_attributes.all;
ENTITY lux IS
port (
F : out std_logic; x1 : in std_logic; x2 : in std_logic; x3 : in
std_logic
);
END lux;
END ppl_type;
Seleccionamos el archivo *.sof, y damos click en Start como lo podemos ver en la figura 16.