Está en la página 1de 19

MICROPROCESADORES Y MICROCONTROLADORES

PASÓ 1

Realizar la actividad de Pre saberes

Entregado por:
Jorge Ivan Mendieta Muñoz
Código: 5826178
Jiner Salcedo Beltran
Código: 79995022

Presentado a:
Tutor
Danish Padilla

Grupo: 309696_6
UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA - UNAD
ESCUELA DE CIENCIAS BÁSICAS TECNOLOGÍA E INGENIERÍA
BOGOTA ABRIL 2019
INTRODUCCION

Con este trabajo realizamos nuestra presentación a los compañeros y tutor del grupo
colaborativo, se presenta una captura de pantalla como evidencia de la actualización
del perfil.
Cada estudiante realizo el diseño de una ALU (Unidad Aritmético Logica) basada en
compuertas y flip flops, para ello se detalla el significado y posibles modos de
aplicaciones de las diferentes compuertas lógicas.
RESUMEN
Con la participación inicial individual se logra cumplir con los primeros puntos que
solicita la guía de trabajo colaborativo, abriendo así la continuidad a los siguientes
pasos para al aprendizaje e introducción sobre el funcionamiento y modos de operación
de los microprocesadores y microcontroladores.
OBJETIVOS

 lograr la presentación personal ante el grupo colaborativo y se reconocen


los entornos del curso de microprocesadores y microcontroladores.
 Recordar los significados de las compuertas lógicas y algunos de sus
modos de aplicación.
 Reconocer algunas partes que actúan en el funcionamiento interno de un
microprocesador.
MARCO METODLOGICO

1. Actualizar perfil, ingrese al perfil personal, diligencie datos como ciudad de


ubicación, centro en donde se matriculó, programa, fotografía, una breve
descripción, número de teléfono, e-mail, Skype, etc. Datos que son muy
importantes para fortalecer la interacción entre compañeros de grupo
colaborativo y curso.

2. Realizar el diseño del algoritmo de una ALU (Unidad Aritmético Lógica) basada
en compuertas lógicas y flip-flops, pueden utilizar todas la que necesiten,
(Recuerde que en el syllabus se encuentra la referencia bibliográfica para el
desarrollo de la actividad)

COMPUERTAS LÓGICAS
Son circuitos electrónicos conformados internamente por transistores que se
encuentran con arreglos especiales con los que otorgan señales de voltaje como
resultado o una salida de forma booleana, están obtenidos por operaciones lógicas
binarias (suma, multiplicación). También niegan, afirman, incluyen o excluyen según
sus propiedades lógicas. Estas compuertas se pueden aplicar en otras áreas de la
ciencia como mecánica, hidráulica o neumática.

Existen diferentes tipos de compuertas y algunas de estas son más complejas, con la
posibilidad de ser simuladas por compuertas más sencillas. Todas estas tienen tablas
de verdad que explican los comportamientos en los resultados que otorga, dependiendo
del valor booleano que tenga en cada una de sus entradas.

Fig. 1 Compuertas Lógicas (logicbus S.A,2018, s.f.)

Trabajan en dos estado, "1" o "0", los cuales pueden asignarse a la lógica positiva o
lógica negativa. El estado 1 tiene un valor de 5v como máximo y el estado 0 tiene un
valor de 0v como mínimo y existiendo un umbral entre estos dos estados donde el
resultado puede variar sin saber con exactitud la salida que nos entregara. Las lógicas
se explican a continuación:

La lógica positiva es aquella que con una señal en alto se acciona, representando un 1
binario y con una señal en bajo se desactiva. representado un 0 binario.

La lógica negativa proporciona los resultados inversamente, una señal en alto se


representa con un 0 binario y una señal en bajo se representa con un 1 binario.
(Logicbus S.A. De C.V. , 2018)

A continuación, relacionamos los nombres, símbolos, gráficos, funciones algebraicas, y


tablas de verdad de las compuertas más usadas.
COMPUERTAS TABLA DE VERDAD SÍMBOLO

COMPUERTA AND
Esta compuerta es representada por
una multiplicación en el Algebra de
Boole. Indica que es necesario que en
todas sus entradas se tenga un estado
binario 1 para que la salida otorgue un 1
binario. En caso contrario de que falte
alguna de sus entradas con este estado
o no tenga si quiera una accionada, la Ilustración 2 AND
salida no podrá cambiar de estado y
permanecerá en 0. Esta puede ser
simbolizada por dos o más interruptores Tabla 1 Compuerta
en serie de los cuales todos deben estar AND
activos para que esta permita el flujo de
la corriente.
𝑶𝒑𝒆𝒓𝒂𝒄𝒊ó𝒏: 𝑸 = 𝑨 ∗ 𝑩

COMPUERTA OR
En el Algebra de Boole esta es una
suma. Esta compuerta permite que con
cualquiera de sus entradas que este en
estado binario 1, su salida pasara a un
estado 1 también. No es necesario que Ilustración 3 OR
todas sus entradas estén accionadas
para conseguir un estado 1 a la salida,
pero tampoco causa algún
inconveniente. Para lograr un estado 0 a
la salida, todas sus entradas deben Tabla 2 Compuerta
estar en el mismo valor de 0. Se puede OR
interpretar como dos interruptores en
paralelo, que sin importar cual se
accione, será posible el paso de la
corriente.
𝑶𝒑𝒆𝒓𝒂𝒄𝒊ó𝒏: 𝑸 = 𝑨 + 𝑩

COMPUERTA NOT
En este caso esta compuerta solo tiene
una entrada y una salida y esta actúa
como un inversor. Para esta situación en
la entrada se colocara un 1 y en la
salida otorgara un 0 y en el caso Ilustración 4 NOT
contrario esta recibirá un 0 y mostrara Tabla 3 Compuerta
un 1. Por lo cual todo lo que llegue a su NOT
entrada, será inverso en su salida.

𝑸=𝑸 ̅
COMPUERTA NAND
También denominada AND negada, esta
compuerta trabaja al contrario de una
AND ya que al no tener entradas en 1 o
solamente alguna de ellas, esta concede Ilustración 5 NAND
un 1 en su salida, pero si esta tiene
todas sus entradas en 1 la salida se
presenta con un 0.
𝑶𝒑𝒆𝒓𝒂𝒄𝒊ó𝒏: 𝑸 = (𝑨 ̅̅̅̅̅̅̅
∗ 𝑩) Tabla 4 Compuerta
NAND

NOR
Así como vimos anteriormente, la
compuerta OR también tiene su versión
inversa. Esta compuerta cuando tiene
sus entradas en estado 0 su salida Ilustración 6 NOR
estará en 1, pero si alguna de sus
entradas pasa a un estado 1 sin
importar en qué posición, su salida será
un estado 0. Tabla 5 Compuerta
𝑶𝒑𝒆𝒓𝒂𝒄𝒊ó𝒏: 𝑸 = (𝑨 ̅̅̅̅̅̅̅̅̅
+ 𝑩) NOR

XOR
También llamada OR exclusiva, esta
actúa como una suma binaria de un
digito cada uno y el resultado de la
suma seria la salida. Otra manera de Ilustración 7 XOR
verlo es que con valores de entrada
igual el estado de salida es 0 y con
valores de entrada diferente, la salida
será 1. Tabla 6 Compuerta
𝑶𝒑𝒆𝒓𝒂𝒄𝒊ó𝒏: 𝑸 = 𝑨 ∗ 𝑩 ̅+𝑨 ̅∗𝑩 XOR

XNOR

Esta es todo lo contrario a la compuerta


XOR, ya que cuando las entradas sean
iguales se presentará una salida en Ilustración 8 XNOR
estado 1 y si son diferentes la salida
será un estado 0.
𝑶𝒑𝒆𝒓𝒂𝒄𝒊ó𝒏: 𝑸 = 𝑨 ∗ 𝑩 + ̅̅̅̅̅̅̅
𝑨∗𝑩
Tabla 7 Compuerta
XNOR
Compuerta IF

Esta compuerta no es una muy utilizada


o reconocida ya que su funcionamiento
en estados lógicos es parecido a si solo Ilustración 9 IF
hubiera un cable conectado porque
exactamente lo que se le coloque en la
entrada, se encontrara en la salida. Pero Tabla 8 Compuerta IF
también es conocido como un buffer, en
la práctica se utiliza como amplificador
de corriente o como seguidor de tensión
para adaptar impedancias.
𝑸=𝑸

Las compuertas además de tener un nombre, también se pueden identificar con una
numeración, la cual es:

AND = 7408
OR = 7432
NOT = 7404
NAND = 7400
NOR = 7402
XOR = 7486
Así también por consiguiente existen distintas familias de circuitos integrados que se
distinguen por el material semiconductor con el que realizan las compuertas y su
manera de interconexión, dichas familias son:

TTL: transistor logic (lógicas de transistores)


MOS: metal oxide semiconductor (semiconductor de óxido de metal)
ECL: emitter coupled logic (lógica de acoplamiento de emisor)
CMOS: complementary metal oxide semiconductor (semiconductor de óxido de
metal complementario).

En resumen, una compuerta lógica es la mínima operación digital que se puede


realizar. Existen al menos 4 operaciones básicas, la multiplicación lógica (AND), suma
lógica (OR), la negación lógica (NOT) y la comparación lógica (XOR). El resto de las
operaciones se realizan con las anteriores y sus negaciones. Una compuerta lógica es
un conjunto de transistores que realizan dichas operaciones. Estas son los bloques
básicos con los que están construidos los sistemas digitales actuales.

Diseño de Algoritmo para un multiplexor de 4 entradas a 1 salida con un selector

Si entrada = 0 Salida = 00

Si entrada = 1 Salida = 01

Si entrada = 2 Salida = 10

Si entrada = 3 Salida = salida por defaul


Aportes Jiner Salcedo Beltrán

1. Actualizar perfil, ingrese al perfil personal, diligencie datos como ciudad de


ubicación, centro en donde se matriculó, programa, fotografía, una breve
descripción, número de teléfono, e-mail, Skype, etc. Datos que son muy
importantes para fortalecer la interacción entre compañeros de grupo
colaborativo y curso.

2. Realizar el diseño del algoritmo de una ALU (Unidad Aritmético Lógica) basada
en compuertas lógicas y flip-flops, pueden utilizar todas la que necesiten,
(Recuerde que en el syllabus se encuentra la referencia bibliográfica para el
desarrollo de la actividad).
La siguiente Unidad Aritmético Lógica está diseñada para realizar 4 tareas
lógicas: AND, OR, NAND y XOR.

Imagen recuperada de: https://www.monografias.com/trabajos14/micros/micros.shtml

En donde las señales de control son las encargadas de seleccionar la


operación a realizar de la siguiente manera:

Imagen recuperada de: https://www.monografias.com/trabajos14/micros/micros.shtml

De igual maneta la entrada de datos A y B deben ser números binarios.


Cumpliendo con estas condiciones se procede a diseñar el algoritmo
propuesto:
Diagrama de flujo del Algoritmo
Código del Algoritmo.
COMPUERTAS LÓGICAS

Son circuitos electrónicos que operan con una o más señales de entrada para
producir una señal de salida. En los sistemas digitales, las señales eléctricas
que podrían ser voltajes o corrientes, existen con uno de dos valores
reconocibles. Los circuitos operados por voltaje responden a dos niveles de
voltaje distintos que representan una variable binaria cuyo valor es 1 lógico o
0 lógico. (Mano, M. M. año 2002. Pág. 29).
Las compuertas son bloques de hardware que producen señales de salida
equivalentes al 1 o 0 lógico cuando se satisfacen los requisitos lógicos de
entrada. (Mano, M. M. año 2002. Pág. 29).

Imagen recuperada de: Mano, M. M. (2002). Digital design. EBSCO Publishing, Inc..

La compuerta AND responde con una señal de salida de 1 lógico cuando


ambas señales de entrada son 1 lógico. La compuerta OR responde con una
señal de salida de 1 lógico cuando cualquier señal de entrada es 1 lógico. La
compuerta NOT se conoce comúnmente como inversor y la señal de salida
invierte el sentido lógico de la señal de entrada. (Mano, M. M. año 2002. Pág.
30).
Imagen recuperada de: Mano, M. M. (2002). Digital design. EBSCO Publishing, Inc..

Imagen recuperada de: Mano, M. M. (2002). Digital design. EBSCO Publishing, Inc..

Imagen recuperada de: Mano, M. M. (2002). Digital design. EBSCO Publishing, Inc..

Las compuertas AND y OR pueden tener más de dos entradas:

Imagen recuperada de: Mano, M. M. (2002). Digital design. EBSCO Publishing, Inc..

La compuerta AND de tres entradas responde con una salida de 1 lógico si


las tres entradas son 1 lógico, y con 0 lógico si cualquiera de las entradas es
0 lógico. La compuerta OR de cuatro entradas responde con 1 lógico si
cualquier entrada es 1 lógico; su salida será 0 lógico si todas sus entradas
son 0 lógico. (Mano, M. M. año 2002. Pág. 30)
CONCLUSIONES

 Se logra la presentación personal ante el grupo colaborativo y se reconocen los


entornos del curso de microprocesadores y microcontroladores.
 Recordamos los significados de las compuertas lógicas y algunos de sus modos
de aplicación.
 Realizamos una introducción a algunas partes y conceptos que actúan en el
funcionamiento interno de un microprocesador.
RECOMENDACIONES

Se recomienda realizar más aportes y participación por parte de los integrantes de


grupo colaborativo.
Referencias

(s.f.). Obtenido de
http://www.profesormolina.com.ar/electronica/componentes/int/comp_log.htm
Logicbus S.A. De C.V. . (2018). Obtenido de Obtenido de:
https://www.logicbus.com.mx/compuertas-logicas.php
Torres, D. H. (22 de Octubre de 2017). HETPRO. Obtenido de https://hetpro-
store.com/TUTORIALES/compuertas-logicas/

Mano, M. M. (2002). Digital design. EBSCO Publishing, Inc..

https://www.monografias.com/trabajos14/micros/micros.shtml

También podría gustarte