Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Paso 1
Presentado por:
Código: 80829122.
Curso:
309696_6.
Presentado a:
Ingeniería Electrónica.
Microprocesadores y Microcontroladores.
05/09/2019.
i
Tabla de contenido.
ii
Introducción.
Con el desarrollo del paso 1 se realizará el reconocimiento del curso, los aspectos básicos de diseño
de algoritmos basado en compuertas lógicas y ALU, tomando como base los aspectos teóricos de
las compuertas lógicas se realizará un diseño de una ALU que realizará las operaciones A+B; A-
Esta actividad es realizada con el fin de aplicar los conocimientos previos en cursos anteriores a
booleana a través de compuertas lógicas para el diseño de una unidad lógico aritmética ALU.
iii
Resumen.
Se diseño una ALU (Unidad Aritmético Lógica) basada en compuertas lógicas y flip -flops
desarrollado por medio del programa ISE Xilinx, Vivado y Proteus donde se realizó el diagrama
esquemático de una ALU mostrando las compuertas (and, or, xor, not, multiplexores y sumadores-
restadores).
Se realizo una investigación previa sobre compuertas lógicas y diseño de una ALU, operaciones
iv
Objetivos.
propósito general y específico, compuertas lógicas, ALU, RAM, ROM y otros propios de
ALU.
v
1. Marco Metodológico.
1.1. Actualizar perfil, ingrese al perfil personal, diligencie datos como ciudad de ubicación, centro
en donde se matriculó, programa, fotografía, una breve descripción, número de teléfono, e-mail,
Skype, etc. Datos que son muy importantes para fortalecer la interacción entre compañeros de
6
Perfil: Deivy Vanegas Vásquez.
7
Perfil: Edgar Leonardo Galvis.
8
9
Perfil: Luis Miguel Gómez.
10
1.2. Realizar el diseño del algoritmo de una ALU (Unidad Aritmético Lógica) basada en
Compuertas lógicas.
Las compuertas digitales son dispositivos electrónicos digitales utilizados para el procesamiento
de señales, para identificarlas se utilizan los símbolos gráficos en esquemas electrónicos, la función
Función Lógica:
INVERSOR.
AND.
NAND.
OR.
NOR.
OR Exclusiva.
NOR Exclusiva.
11
Tabla 1. Funciones y compuertas lógicas. Recuperado de
https://repository.unad.edu.co/bitstream/10596/6933/1/M_309696_Microp%20%26%20Microc_Ing%20Elec
tronica.pdf
Compuerta NOT:
En este caso esta compuerta solo tiene una entrada y una salida y esta actúa como un inversor. Para
recibirá un 0 y mostrara un 1. Por lo cual todo lo que llegue a su entrada, será inverso en su salida.
Compuerta AND:
Esta compuerta es representada por una multiplicación en el Algebra de Boole. Indica que es
necesario que en todas sus entradas se tenga un estado binario 1 para que la salida otorgue un 1
12
binario. En caso contrario de que falte alguna de sus entradas con este estado o no tenga si quiera
Compuerta NAND:
También denominada como AND negada, esta compuerta trabaja al contrario de una AND ya que
al no tener entradas en 1 o solamente alguna de ellas, esta concede un 1 en su salida, pero si esta
Compuerta OR:
En el Algebra de Boole esta es una suma. Esta compuerta permite que con cualquiera de sus
entradas que este en estado binario 1, su salida pasara a un estado 1 también. No es necesario que
todas sus entradas estén accionadas para conseguir un estado 1 a la salida, pero tampoco causa
algún inconveniente. Para lograr un estado 0 a la salida, todas sus entradas deben estar en el mismo
valor de 0.
La compuerta OR también tiene su versión inversa. Esta compuerta cuando tiene sus entradas en
estado 0 su salida estará en 1, pero si alguna de sus entradas pasa a un estado 1 sin importar en qué
Esta es todo lo contrario a la compuerta XOR, ya que cuando las entradas sean iguales se presentará
13
Flip-Flops:
Los Flip-Flops son dispositivos biestables, es un multivibrador capaz de permanecer en uno de dos
estados estables posibles, durante un tiempo indefinido sin presencia de perturbaciones. Los Flip-
Flip-Flop puede memorizar el valor de sus entradas incluso después de haber sido eliminadas, al
y de memoria. Existen varios tipos de Flip-Flops que se encuentran en chips o circuitos integrados
(CI) independientes y que son frecuentemente utilizados como dispositivos periféricos o auxiliares
Flip-Flop RS: Es el primer flip-flop construido con compuertas sin presencia de pulso de reloj
para habilitar la trasferencia de datos entre la entrada y salida, este biestable mostrado en la Figura
1, se caracteriza por tener dos entradas S (set o ajuste) y R (reset o reinicio) y dos salidas 𝑄 y su
complemento 𝑄̅. Al colocar S am “1” se ajusta la salida 𝑄 = 1 (set), al colocar R a “1” se reinicia
la salida 𝑄 = 0 (reset).
Qt representa el estado de salida “Q” antes de activar la entrada, Q(t+1) representa el estado de la
salida “Q” después de la activación de la entrada es el estado siguiente a Qt. El estado “X” o
indeterminado es un estado al cual no se debe llega o prohibido puesto que las salidas no son
complementadas.
14
Flip-Flop JK: Es una evolución del Flip-Flop R-S, similar en operación en las condiciones set,
no validas, es decir, cuando ambas entradas “J” y “K” son “1”. En el Flip-Flop JK mostrado en la
Figura 2, se observan varias terminales donde “J” es el grabado o set, “K” es el borrado o reset,
“CLK” la entrada de pulso de reloj con transferencia de datos de la entrada a la salida por flanco
de subida “↑”, las salidas se denotan por 𝑄 y su complemento 𝑄̅. Los circuitos integrados con Flip-
Flop pueden tener entradas adicionales para establecer estados en la salida. La entrada “SET” al
es “D”, gobernada por el pulso de reloj “CLK”, la flecha “↑” indica un flanco positivo del pulso
15
de reloj para la transferencia de datos de la entrada a la salida, las salidas se denotan por 𝑄 y su
complemento 𝑄̅.
(toggle) cuando se recibe un pulso de reloj (CLK) mientras la entrada esta puesta a “1” o nivel
alto. En la Figura 23 la entrada se designa como “T”, el pulso de reloj “CLK” requiere de un flanco
de subida “↑” (transición del pulso de nivel bajo a alto) para la transferencia de datos de la entrada
16
1.2.2. Diseño de la ALU.
Unidad Aritmética Lógica – ALU Los datos en el ALU se presentan en registros, posteriormente
en ellos se almacenan los resultados de las operaciones, estos registros son posiciones de memoria
lógicos pueden activar indicadores o también llamadas banderas (flags), que son indicadores del
especial dentro del microprocesador (registro de estado), por ejemplo cuando el resultado de una
transferencia de datos dentro y fuera de ella. La Unidad Aritmética Lógica – ALU, es la encargada
de realizar las operaciones elementales de tipo aritmético (generalmente sumas o restas) y de tipo
(BR), este banco está constituido por 8, 16 ó 32 registros de tipo general que sirven para situar
datos antes de cada operación, para almacenar datos intermedios en las operaciones y para
17
Como se había establecido antes los datos que maneja la ALU tienen formato de complemento a
dos, haciendo más sencillo el trabajo y las operaciones con números negativos. En general los bits
restantes en una operación que involucre números con signo siguen lo establecido en la Tabla 2.
La representación del número cero tienen un bit de signo cero (0), una magnitud cero. El costo de
equivalente a 255 en decimal, el numero con signo, en formato positivo no puede ser mayor a
01111111 binario o 127 decimal, por cuanto el siguiente número binario valido seria 10000000 y
al tener encendido el bit más significativo es evidente que representa un numero negativo que
correspondería al -128. Entonces para representar con “n” bits el rango de números positivos y
negativos se establece como números positivos desde cero hasta 2n-1 -1, para los negativos se
18
Aporte: Deivy Faviany Vanegas Vásquez.
----------------------------------------------------------------------------------
-- Universidad Nacional Abierta y a Distancia
-- Presentado por: Deivy Faviany Vanegas
-- Presentado a: Ing. Nestor Rodriguez
-- Fecha: 23:53:01 09/01/2019
-- Curso: 309696-6
-- Module Name: ALU - Behavioral
-- Project Name: Microprocesadores/Microcontroladores
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.std_logic_unsigned.all;
entity ALU is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
B : in STD_LOGIC_VECTOR (7 downto 0);OPERACION : in STD_LOGIC_VECTOR (2
downto 0);
RESULTADO : out STD_LOGIC_VECTOR (7 downto 0));
end ALU;
architecture Behavioral of ALU is
begin
with OPERACION select
RESULTADO <= A + B when "000",
A - B when "001",
A and B when "010",
A or B when "011",
A when "100",
19
B when "101",
not A when "110",
not B when others;
end Behavioral;
20
21
22
23
Simulación:
24
25
ALU Diseñada en Proteus.
26
Simulación operación AND
Simulación operación OR
27
Simulación operación NOT A
28
Simulación operación NOT B
29
Simulación operación A-B
30
Aporte: Edgar Leonardo Galvis.
ALU
Unidad aritmética lógica es un circuito de una unidad central de proceso (CPU) que calcula
operaciones aritméticas básicas como son la (suma, resta, multiplicación, división y sus
COMPUERTA AND
31
SIMULACIÓN
COMPUERTA NOR
SIMULACIÓN
32
COMPUERTA NAND
33
SIMULACIÓN
COMPUERTA OR
34
SIMULACIÓN
COMPUERTA NOT
35
SIMULACIÓN
36
Conclusiones.
Con el desarrollo del diseño de la ALU se reforzaron los aspectos básicos de diseño de algoritmos
basado en compuertas lógicas y ALU, se tomó como base los aspectos teóricos de las compuertas
lógicas y se realizó un diseño de una ALU que realiza las operaciones A+B; A-B; A and B; A or
B; A; B; not A; not B.
37
Recomendaciones.
Para el desarrollo del diseño de la ALU es importante que el estudiante refuerce temas de la
38
Bibliografía.
Guisa, J. (2009). Electrónica Digital. Instituto Politécnico Nacional. (Pp. 26 -32). Recuperado de:
http://bibliotecavirtual.unad.edu.co:2460/lib/unadsp/reader.action?ppg=6&docID=3192086&tm=
1531433929303
Stallings, William. “Organización y Arquitectura de Computadores”. (5ª edición). Editorial
Prentice-Hall. Madrid, 2000.
Téllez, Acuña Freddy Reynaldo. (2007). Módulo de Microprocesadores y Microcontroladores.
UNAD.
Cibergrafía.
http://atc2.aut.uah.es/~avicente/asignaturas/ac/pdf/ac_t3.pdf
http://www.sinaptec.alomar.com.ar/2018/04/computadora-de-4-bits-capitulo-5-unidad.html
39