Documentos de Académico
Documentos de Profesional
Documentos de Cultura
San Marcos
Codigo: 14190092
Solución:
Para los sumadores BCD de un dígito, comprendidos entre 0 y 9, existirán
2 casos:
Cuando Z > 9 y cuando Z ≤ 9
Para el primer caso, en donde Z>9, se producirá una señal de salida que
controla al multiplexor y este proporcionará la corrección necesaria
sumándole +6 y con una salida de acarreo =1.
Para el caso de que Z ≤ 9, S = Z + 0 (no procederá a hacerse alguna
correción).
Entonces, implementando todo esto en un código VHDL, se tiene:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY problema37 IS
port(
A : in std_logic_vector (3 downto 0);
B : in std_logic_vector (3 downto 0);
S : out std_logic_vector (4 downto 0));
END problema37;
Solución:
Reescribiendo el código en VHDL se tiene:
Simulación temporal
5.19 Derive un esquema para realizar la resta usando operadores BCD. Muestre
un diagrama de bloques para el circuito restador.
Sugerencia: La resta puede realizarse fácilmente si los operando están en
representación de complemento a 10(complemento a la base).En esta
representación, el digito signo es 0 para un numero positivo y 9 para un numero
negativo.
El circuito va a cumplir siempre y cuando Ai=0000 o cuando sea Ai=1001. Para
esas instancias se activara el acarreo de entrada , dando el signo positivo o
negativo.