Documentos de Académico
Documentos de Profesional
Documentos de Cultura
entity ff_d is
port
(
D, CLK: in std_logic;
Q: out std_logic
);
end ff_d;
TIPO T
library ieee;
use ieee.std_logic_1164.all;
entity fft is
port
(
T: in std_logic;
CLK: in std_logic;
Q: out std_logic
);
end fft;
Eje 1)
Eje 2)
Eje 3)
Tipo D)
Tipo T)