Está en la página 1de 21

Laboratorio N.

º 04: LATCH, FLIPFLOPS, MAQUINAS


DE ESTAADOS FINITOS
Facultad de Ingeniería Eléctrica y Electrónica, Universidad Nacional de Ingeniería Lima, Perú

Celmi Ramirez Javier

Aguirre Flore Clintoni

Samanez Galiano Erik

1. Objetivos

• Comprobar el funcionamiento de los flip flops en Máquina de Estados finitos.

• Comprobar el funcionamiento de los flip flops en Registros y contadores.

• Comprobar el funcionamiento de memoria tipo 2716y/o 2732, así como la 6116(RAM


ESTATICA)

2. Marco teórico

Sistemas Secuenciales: El circuito lógico secuencial es aquel cuyas salidas no sólo dependen de
sus entradas actuales, sino también de una secuencia de las entradas anteriores. El sistema
secuencial más simple es el biestable, de los cuales, el de tipo D es el más utilizado
actualmente. Además, este requiere de la utilización de un dispositivo de memoria que pueda
almacenar la historia pasada de sus entradas (denominadas variables de estado) y le permita
mantener su estado durante algún tiempo, estos dispositivos de memoria pueden ser sencillos
como un simple retardador o tan complejos como un circuito completo de memoria
denominado multivibrador biestable o Flip-Flops.
Elementos biestables: Son dispositivos que tienen 2 estados estables. Este elemento es tan
simple que no tiene entradas que por lo tanto no hay manera de cambiar su estado. Los
elementos biestables más usados son:

• Latch

• Flip Flops

Latch: Un Latch (late memory inglet) es un circuito electrónico biestable asíncrono que no
tienen entrada de reloj y que son usados para almacenar información en sistemas lógicos
digitales. Los latches a diferencia de los flip-flops no necesitan una señal de reloj para su
funcionamiento. Los Latches más usados son los siguientes:

• Latch SR: El latch lógico más simple es el SR, donde R y S representan los estados 'reset' y
'set' respectivamente. El latch es construido mediante la interconexión retroalimentada de
puertas lógicas NOR (negativo OR), o bien de puertas lógicas NAND.

• Latch D: También conocido como latch transparente, debido a que el nivel presente en D se
almacenará en el latch en el momento en que la entrada Habilitar (Enable por su palabra en
inglés), sea activada, generalmente mediante un estado alto, es decir 1.
1.Flip flops: Es un multivibrador capaz de permanecer en uno de dos estados posibles durante
un tiempo indefinido en ausencia de perturbaciones.1 Esta característica es ampliamente
utilizada en electrónica digital para memorizar información. El paso de un estado a otro se
realiza variando sus entradas. Si las entradas de control dependen de la de sincronismo se
denominan síncronas y en caso contrario asíncronas. La entrada de sincronismo puede ser
activada por nivel (alto o bajo) o por flanco (de subida o de bajada). Dentro de los biestables
síncronos activados por nivel están los tipos RS y D, y dentro de los activos por flancos los tipos
JK, T y D.

 FLIP FLOP RS:

 FLIP FLOP D:

 FLIP FLOP JK
 FLIP FLPO T

2. Máquinas de estados finitos: Una máquina de estados finitos en un modelo abstracto para
la manipulación de símbolos, nos permiten saber si una cadena pertenece a un lenguaje o nos
pueden generar otro conjunto de símbolos como resultado. Llamaremos una Maquina de
Estados Finitos como Autómata Finito, el hecho es que un Autómata y una Maquina de Estados
Finitos son lo mismo, podemos utilizar ambos términos de forma indistinta.
3.Cuestionario

1.Compruebe en el laboratorio el funcionamiento de los siguientes dispositivos de memoria:


Latch SET; Latch RESET; Latch SET-RESET, construido con compuertas NOR; Latch SET-RESET,
construido con compuertas NAND, Latch S-R construido con compuertas NOR y controlados
por compuertas y Latch S-R construido con compuertas NAND y controlado por compuertas.

Solucion:

LATCH SET

LATCH RESET

LATCH SET-RESET(Construido con puertas NOR )

LATCH SET-RESET(Contruido con puertas NAND)

LATCH SET-RESET(Construido con puertas NOR y controlado por compuertas)


LATCH SET-RESET(Construido con puertas NAND y controlado por compuertas)
2.Implementar el circuito de la figura. Coloque la línea de inicio en “0” y luego colóquelo a
“1”. ¿Cuál es la secuencia seguida por QBQA? Retorne la línea de inicio a “0”. ¿Qué ocurre en
la salida al recibir más pulsos de reloj? Coloque en la salida de 𝑄𝐴 𝑄𝐵 leds para observar la
secuencia de salida y lo que ocurre al recibir más pulsos de reloj.

Solucion:

Configuramos el periodo para poder observar la diferencia

Sepuede observar cuando el inicio es “0”:

Cuando al inicio es 1 se observa una transición en los led de 0 a 3 siendo este un contador de
dos bits donde QB el bit menos significativo y QA el bit más significativo donde el clock define
la velocidad que cambia los leds a mayor frecuencia del clock más rápido esos cambios.
3. Para el siguiente diagrama de estados, hallar:

a) Tabla de estados.

b) Tabla de estados reducida.

c) Implementar el circuito con FF J-K.

d) Hallar la secuencia de estados cuando x=0.

e) Hallar la secuencia de estados cuando x=1

Solucion:

a)Tabla de estados:

ESTADO ENTRADA
ACTUAL 0 1
0 3/0 5/1
1 6/0 0/0
2 1/0 1/0
3 2/0 5/1
4 5/1 2/0
5 5/1 4/0
6 0/0 2/0

b)La tabla de estados reducida queda igual que la tabla anterior ya que no existen
estados equivalentes.

c)Para implementar el circuito hacemos uso de 3FF, para la asignación de estados


escogemos:

0=000 3=011
1=001 4=100
2=010 5=101
6=110
Tabla de excitación de los FFJK

Q Q* J K
0 0 0 X
0 1 1 X
1 0 X 1
1 1 X 0

Q2 Q1 Q0 X Q2* Q1* Q0* Z J2 K2 J1 K1 J0 K0


0 0 0 0 0 0 1 1 0 0 X 1 X 1 X
1 0 0 0 1 1 0 1 1 1 X 0 X 1 X
2 0 0 1 0 1 1 0 0 1 X 1 X X 1
3 0 0 1 1 0 0 0 0 0 X 0 X X 1
4 0 1 0 0 0 0 1 0 0 X X 1 1 X
5 0 1 0 1 0 0 1 0 0 X X 1 1 X
6 0 1 1 0 0 1 0 0 0 X X 0 X 1
7 0 1 1 1 1 0 1 1 1 X X 1 X 0
8 1 0 0 0 1 0 1 1 X 0 0 X 1 X
9 1 0 0 1 0 1 0 0 X 1 1 X 0 X
10 1 0 1 0 1 0 1 1 X 0 0 X X 0
11 1 0 1 1 1 0 0 0 X 0 0 X X 1
12 1 1 0 0 0 0 0 0 X 1 X 1 0 X
13 1 1 0 1 0 1 0 0 X 1 X 0 0 X

Haciendo mapa.K a cada uno obtenemos lo siguiente:

𝑱𝟐 = 𝑸𝟏𝑸𝟎𝑿 + ̅̅̅̅
𝑸𝟏̅̅̅̅
𝑸𝟎𝑿 + ̅̅̅̅
𝑸𝟏𝑿 ̅ 𝑸𝟎 𝑲𝟐 = 𝑸𝟏 + ̅̅̅̅
𝑸𝟎𝑿
𝑱𝟏 = ̅̅̅̅ ̅̅̅̅𝑿
𝑸𝟐𝑿 + 𝑸𝟐𝑸𝟎 𝑲𝟏 = ̅̅̅̅
𝑸𝟎𝑿 + ̅̅̅̅
𝑸𝟐𝑿
𝑱𝟎 = ̅̅̅̅
𝑸𝟐 + ̅̅̅̅
𝑸𝟏𝑿 ̅ 𝑲𝟎 = ̅̅̅̅
𝑸𝟐𝑿 ̅ + ̅̅̅̅
𝑸𝟏𝑿

̅̅̅̅ 𝑸𝟏
𝒁 = 𝑸𝟐 ̅̅̅̅ 𝑸𝟎
̅̅̅̅ 𝑿 + 𝑸𝟏𝑸𝟎𝑿 + 𝑸𝟐𝑿𝑸𝟏
̅̅̅̅

d)La secuencia de estados cuando X=0 sera:

ESRADO ACTUAL Estado siguiente Salida


(empieza en 0) (cuando x=0) Z
0 000 3 011 0
3 011 2 010 0
2 010 1 001 0
1 001 6 110 0
6 110 0 000 0
e)La secuencia de estados cuando X=1 será:

ESRADO ACTUAL Estado siguiente Salida


(empieza en 0) (cuando x=0) Z
1 001 0 000 0
0 000 5 101 1
5 101 4 100 0
4 100 2 010 0
2 010 1 001 0

El circuito a utilizar será el siguiente:

Para la secuencia cuando X=0:

0 −3 − 2 −1 − 6− 0

Para la secuencia cuando X=1:

0 −5 − 4 −2 − 1− 0
4. Diseñar e implementar en el laboratorio, un circuito secuencial utilizando FF tipo D,
conectados en cascada, de modo que desplace un bit hacia la derecha y recircule
continuamente.

Solucion:

Definimos 4 estados:

Q1 = combinación 1000

Q2 = combinación 0100

Q3 = combinación 0010

Q4 = combinación 0001

q1 q0
Q1 O O
Q2 O 1
Q3 1 O
Q4 1 1
Tabla de estados:

q1 q0 x q1* q0*
0 0 0 0 1
0 0 1 0 1
0 1 0 1 0
0 1 1 1 0
1 0 0 1 1
1 0 1 1 1
1 1 0 0 0
1 1 1 0 0

q1* = XOR(q1,q2)

q0 = NOT(q0)
De lo antes mencionado tenemos, sabemos que para los desplazadores se utilizan los ff D y su
configuracion es en serie
SIMULACION
5. Construir a partir de latches S-R construidos con compuertas NOR o NAND, comprobados
anteriormente, los siguientes FF: S-R, D, J-K y T. Comprobar su funcionamiento utilizando el
timer 555 como reloj (configuración astable). Visualizar Q y Q’, las salidas de los FF
visualizadas en LED’s.

Solucion:

Configuramos el 555 como reloj:

𝑇𝐻 = ln(2) ∗ (𝑅𝑎 + 𝑅𝑏) ∗ 𝐶

𝑇𝐿 = ln(2) ∗ (𝑅𝑏) ∗ C

FF S-R

C S R Q Q*
0 0 0 0 0
0 0 0 1 1
0 0 1 0 0
0 0 1 1 1
0 1 0 0 0
0 1 0 1 1
0 1 1 0 0
0 1 1 1 1
1 0 0 0 0
1 0 0 1 1
1 0 1 0 0
1 0 1 1 0
1 1 0 0 1
1 1 0 1 1
1 1 1 0 X
1 1 1 1 X
Q*=CS+C*Q+R*Q
FF J-K

C J K Q Q*
0 0 0 0 0
0 0 0 1 1
0 0 1 0 0
0 0 1 1 1
0 1 0 0 0
0 1 0 1 1
0 1 1 0 0
0 1 1 1 1
1 0 0 0 0
1 0 0 1 1
1 0 1 0 0
1 0 1 1 0
1 1 0 0 1
1 1 0 1 1
1 1 1 0 1
1 1 1 1 0

Q*=C*Q+CJQ*+K*Q
FF D:

C D Q Q*
0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 1
1 0 0 0
1 0 1 0
1 1 0 1
1 1 1 1

Q*=CD +C*Q
FF T:

C T Q Q*
0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 1
1 0 0 0
1 0 1 1
1 1 0 1
1 1 1 0

Q=C*Q+T*Q+CTQ*
6.Utilizando la herramienta Max Plus II, diseñe un circuito contador escalador que
proporcione la secuencia: 5,7,2,0,4,5…. Según el procedimiento siguiente:

a) Crear el esquemático
b) Asignar los pines de entrada y salida
c) Conectar los símbolos
d) Editar los nombres de los pines
e) asignar el numero de pines}
f) compilar el proyecto
g) simular el proyecto

Solucion:

A continuación se muestra el circuito y las entradas establecidas.

A continuación se muestra la simulación del circuito .


7.Utilizando la herramienta Max Plus II, diseñe la máquina de estados que reconozca la
secuencia 0011, aun traslapadas. según el procedimiento :

a) Crear el esquemático
b) Asignar los pines de entrada y salida
c) Conectar los símbolos
d) Editar los nombres de los pines
e) asignar el numero de pines}
f) compilar el proyecto
g) simular el proyecto

Solucion:

A continuación se muestra el circuito y las entradas establecidas.

A continuación se muestra la simulación del circuito .

Bibliografia:

 http://uncomp.uwe.ac.uk/genaro/Papers/Veranos_McIntosh_files/alejandroFinal2008
.pdf
 https://es.wikipedia.org/wiki/Biestable
 http://www.profesormolina.com.ar/electronica/componen tes/int/biest.htm
Observaciones y Conclusiones

 Podemos concluir que un circuito flip-


flop puede mantener un estado binarioindefinidamente hasta que se cambie por una
señal de entrada para cambiar estados. La principal diferencia entre varios tipos de
flip-flops es él numero de entradas que poseen y la manera en la cual las entradas
afecta en el estado binario.
 Se menciono que un circuito flip-flop puede estar formado por dos compuertas NAND
o dos compuertas NOR.
 Cada circuito forma un flip-flop básico del cual se pueden construir uno mas
complicado.
 La conexión de acoplamiento intercruzado de la salida de una compuerta a la entrada
de la otra constituye un camino de retroalimentación. Por esta razón, los circuitos se
clasifican como circuitos secuenciales asincrónicos.
 Cada flip-flop tiene dos salidas, Q y Q´ y dos entradas S (set) y R (reset). Este tipo de
flip-flop se llama Flip-Flop RS acoplado directamente o bloqueador SR (SR latch). Las
letras R y S son las iniciales de los nombres en inglés de las entradas(reset, set).
 El flip-flop J-K se considera como el FF universal, tiene dos entradas para datos
etiquetadas como J y K así como otra para el pulso de reloj(CK). También tiene dos
salidas: Q y Q’ . La flecha (>) en la entrada CK indica que es disparado por flanco
ascendente; el círculo señala que el disparo se hace con el flanco descendente, lo cual
significa que los datos se transfieren desde las entradas hasta la salida Q cuando el
pulso de reloj efectúa una transición desde ALTO hasta BAJO
 El comportamiento de los circuitos secuenciales puede ser exresado mediante
diagramas de estado.
 Un flip-flop activado por nivel solo puede cambiar mientras la señal de reloj este e un
determnado nivel, nivel alto o bajo.
 Un flip-flop solo puede almacenar información 0 o 1.
 Los circuitos secuenciales requieren de la seña de reloj para producir cambios en ña
señal.

También podría gustarte