Está en la página 1de 9

Informe Nº 1

Contadores

1. Objetivos

 Diseñar un contador que cumpla con las condiciones impuestas por el diseñador.
 Aprender el proceso de diseño de un contador.

2. Fundamento teórico

Un contador es un sistema secuencial con una sola entrada de información y varias salidas, la única
información de estrada son los pulsos de reloj, también se puede decir que los contadores son
asociaciones de biestables (Flip Flops) que en respuesta a una señal de reloj cambia el valor binario de las
salidas cuyas combinaciones pueden estar estructuradas en un código binario cualquiera.

En electrónica digital, Un contador (counter en inglés) es un circuito secuencial construido a partir


de biestables y puertas lógicas capaz de realizar el cómputo de los impulsos que recibe en
la entrada destinada a tal efecto, almacenar datos o actuar como divisor de frecuencia. Habitualmente, el
cómputo se realiza en un código binario, que con frecuencia será el binario natural o el BCD
natural (contador de décadas).
 Según la forma en que conmutan los biestables, podemos hablar de contadores síncronos (todos
los biestables conmutan a la vez, con una señal de reloj común) o asíncronos (el reloj no es común
y los biestables conmutan uno tras otro).
 Según el sentido de la cuenta, se distinguen en ascendentes, descendentes y UP-
DOWN(ascendentes o descendentes según la señal de control).
 Según la cantidad de números que pueden contar, se puede hablar de contadores binarios de n bits
(cuentan todos los números posibles de n bits, desde 0 hasta 2n − 1), contadores BCD (cuentan
del 0 al 9) y contadores Módulo N (cuentan desde el 0 hasta el N-cuarto.

El número máximo de estados por los que pasa un contador se denomina módulo del contador. Este
número viene determinado por la expresión 2n donde n indica el número de bits del contador. Ejemplo,
un contador de módulo 4 pasa por 4 estados, y contaría del 0 al 3. Si necesitamos un contador con un
módulo distinto de 2n , lo que se hace es añadir un circuito combinacional.

2.1.Contadores síncronos

El termino síncrono se refiere a los eventos que tienen una relación temporal fija entre si, de manera que
todos los biestables cambian de estado simultáneamente; para que el contador en estas condiciones realice
una secuencia prestablecida, es necesario que las entradas síncronas de los biestables incluyan una lógica
combinacional en función de las salidas de los biestables para generar las entradas de excitación
adecuadas. Se detalla este tipo de contadores ya que son de interés para el presenta laboratorio, porque en
esta oportunidad se desea diseñar contadores síncronos ascendentes y descendentes.

2.2.Pasos a seguir para el diseño de contadores

Los contadores son un caso particular de los circuitos secuenciales síncronos. Se aplicará el
procedimiento de diseño de los circuitos secuenciales a los contadores síncronos identificando con el
método los pasos a seguir.
a) Especificaciones del contador.
Generalmente se trata de una descripción (en lenguaje natural no ambiguo o al menos eso se espera) de la
secuencia que debe seguir el contador, en este caso la interpretación del enunciado se realiza a través de
un diagrama de flujo entre los estados internos que representan la secuencia de evolución del contador y
este diagrama llega a ser único y reducido, la evolución de un estado (nodo del diagrama) a otro se realiza
mediante la señal de reloj indicado con una línea que parte de un estado y termina en otro, señalando el
sentido en esta línea con una flecha.
b) Tabla de fases ó tabla de estados.
En el diseño del contador no es necesario realizar esta tabla de fases puesto que se tiene de la
interpretación el diagrama de flujo.
c) Reducir el número de estados internos.
Como no se realiza la tabla de fases ó tabla de estados tampoco es necesario realizar este pasó puesto que
el diagrama de flujo de la interpretación es único y reducido.
d) Tabla de fases reducida.
De la interpretación del enunciado se obtiene el diagrama de flujo del contador y que es único y reducido,
en esta tabla de fases se muestra el estado de partida ajustado con las entradas asíncronas ó síncronas de
los FF, se debe detallar la señal de control que determina si el contador es ascendente o descendente la
secuencia de evolución con la señal de reloj.
Los estados del contador se indican con un círculo y en su interior se anota el nombre del estado, para la
evolución entre cada estado se indica con una línea que parte de un estado y termina en otro señalando
con una flecha el sentido de conmutación, sobre esta línea se anota el estado del reloj. Cabe aclarar que si
el contador es de un solo sentido es decir ascendente o descendente no es necesario disponer de una señal
de control ya que la señal de reloj realiza la secuencia requerida.
e) Codificación de los estados internos.
En un contador está determinado el número máximo de la cuenta a realizar y este coincide con el número
de estados del diagrama de flujo, este número debe ser menor o igual que 2n , donde n es el número de
bits que se usará en la codificación de cada uno de los estados; sólo resta adoptar el código binario en que
se realiza la cuenta y este puede ser cualquiera, en este punto también se puede adoptar el tipo de FF a ser
utilizado ó es un dato del problema, asimismo de la relación de 2", n representa el número de biestables
que permite realizar la cuenta. Con el código adoptado realizamos la tabla de fases reducida y codificada.
f) Diagramas de Karnaugh.
Para realizar los diagramas de Karnaugh previamente debemos decidir si la síntesis se efectúa utilizando
las tablas de excitación previa ó las ecuaciones fundamentales de los FF, de tal manera que:
 Si adoptamos el método de las tablas de excitación previa se debe realizar esta tabla para cada
secuencia del contador, tomando en cuenta que el código adoptado en cada estado representa la
salida de cada FF que participa en el circuito, realizada la tabla de excitación para cada estado de la
tabla de fases reducida y codificada, se procede a vaciar las tablas de excitación así determinadas en
un diagrama de. Karnaugh para cada una de las entradas síncronas del FF adoptado, en él diagrama
de Karnaugh para cada cubículo se toma como variables lógicas las salidas de los FF. De estos
diagramas se determinan las funciones lógicas que controlan cada entrada de información síncrona
de los FF.
 Si adoptamos el método de la ecuación fundamental de los FF, a partir de la tabla de fases reducida
y codificada vaciamos los estados de la tabla en diagramas de Karnaugh para cada una de las
columnas de la tabla, tomando como variables en éste diagrama las salidas de los FF. De estos
diagramas determinamos las ecuaciones lógicas mediante un proceso de comparación de estas
ecuaciones con las ecuaciones fundamentales de los FF, se determina las funciones lógicas de cada
una de las entradas síncronas de los FF que forman el contador.
g) Circuito Lógico.
De las ecuaciones lógicas de control de las entradas síncronas determinada; en el paso anterior, adoptados
los operadores combinacionales y secuenciales se procede a dibujar el circuito resultante, luego
verificamos el trabajo lógico del contador.

3. Descripción de los componentes

3.1.Flip flop 74LS76 (flip flop JK)

El 74LS76A ofrece pulsos de reloj individuales J, K, la configuración directa y dirigir contribuyan de


forma clara. Estos dos flip-flops están diseñados de manera que cuando el pulso de reloj es alto, las
entradas están habilitadas y los datos serán aceptados. El nivel lógico de las entradas J y K llevará a cabo
de acuerdo con el Tabla de verdad, siempre y cuando mínimo los tiempos de preparación se observan.
Los datos de entrada se transfieren a las salidas en las transiciones de reloj de alta-baja. Deshacer cambios

En teoría se usa un flip fliop T que tiene una tabla de excitación propia, sin embargo, se utilizara el flip
flop JK para implementar el circuito contador con la modificación de cortocircuitar los pines
correspondientes a las entradas J y K para ambos biestables y asi obtener un flip flop T.

3.2.Compuertas en general

Se utilizan compuertas AND, OR, NOT, XOR y otras dependiendo a las necesidades del caso. Tratándose
de las compuertas AND pueden ser de dos entradas, de tres entradas y de cuatro entradas dependiendo del
número de factores que intervengan en la operación, de acuerdo con ello los códigos de fabricación son
74LS08, 74LS11 y 74LS21 respectivamente.

4. Especificaciones y diseño del contador

4.1. Especificaciones

Debe ser un contador síncrono de modulo 8 (contador de 0 a 7) utilizando biestables del tipo T; para
codificar los estados se usa el código binario ordinario.
Además se requiere que el contador tenga dos señales de control externas que cumplan las siguientes
cuatro secuencias dependiendo del valor de la señal externa.

 Si 𝐴 = 𝐵 = 0

7 Contador descendente que cuente de 2 en 2 (números impares)


Como la cuenta se ejecuta desde 0 hasta 7 entonces si se cuentan solo
números pares la secuencia es la mostrada en el diagrama de estado
1 5
mostrado a la izquierda.

3
Para los estados no deseados de dispone arbitrariamente que inicien la cuenta en 7; los posibles estados
no deseados son 0, 2, 4 y 6, tomando en cuenta que es un contador que solo va a manejar 3 bits para
realizar el trabajo.

 Si 𝐴 = 0 𝑦 𝐵 = 1

7 Contador descendente que cuente de 1 en 1 (cuenta


6 descendente normal)
0
Como la cuenta se ejecuta desde 0 hasta 7 entonces si se
5 cuenta de manera descendente la secuencia es igual a la
1
mostrada en el diagrama de la izquierda.
No hay estados no deseados que se puedan dar.
2 4
3

 Si 𝐴 = 1 𝑦 𝐵 = 0

Contador ascendente que cuente de 2 en 2 (números pares)


0 Como la cuenta se ejecuta desde 0 hasta 7 entonces si se cuentan
solo números pares la secuencia es la mostrada en el diagrama de
2 estado mostrado a la izquierda.
6
Para los estados no deseados de dispone arbitrariamente que
inicien la cuenta en 0; los posibles estados no deseados son 1, 3, 5
y 7, tomando en cuenta que es un contador que solo va a manejar
4
3 bits para realizar el trabajo.

 Si 𝐴 = 1 𝑦 𝐵 = 1

0 Contador ascendente que cuente de 1 en 1 (cuenta ascendente normal)


1 Como la cuenta se ejecuta desde 0 hasta 7 entonces si se cuenta de
7
manera ascendente la secuencia es igual a la mostrada en el diagrama de
la izquierda
6 2 No hay estados no deseados que se puedan dar.

5 3
4

4.2.Diseño del contador

El diagrama de estados esta en la parte superior donde se especifican los requerimientos del contador para
cada caso. Se procede a hacer una tabla de verdad que verifique los estados anteriores y posteriores por
los que el contador debe pasar y se elabora las entradas para el flip flop T.
4.2.1. Diseño contador descendente de uno en uno (𝐴 = 𝐵 = 0)
Estado previo Estado actual Entrada Flip Flop T
Decimal
𝑄2 𝑄1 𝑄0 𝑄2 𝑄1 𝑄0 𝑇2 𝑇1 𝑇0
0 0 0 0 1 1 1 1 1 1
1 0 0 1 1 1 1 1 1 0
2 0 1 0 1 1 1 1 0 1
3 0 1 1 0 0 1 0 1 0
4 1 0 0 1 1 1 0 1 1
5 1 0 1 0 1 1 1 1 0
6 1 1 0 1 1 1 0 0 1
7 1 1 1 1 0 1 0 1 0

4.1.2. Diseño contador descendente de números impares (𝐴 = 0 𝑦 𝐵 = 1)


Estado previo Estado actual Entrada Flip Flop T
Decimal
𝑄2 𝑄1 𝑄0 𝑄2 𝑄1 𝑄0 𝑇2 𝑇1 𝑇0
0 0 0 0 1 1 1 1 1 1
1 0 0 1 0 0 0 0 0 1
2 0 1 0 0 0 1 0 1 1
3 0 1 1 0 1 0 0 0 1
4 1 0 0 0 1 1 1 1 1
5 1 0 1 1 0 0 0 0 1
6 1 1 0 1 0 1 0 1 1
7 1 1 1 1 1 0 0 0 1

4.2.3. Diseño contador ascendente de dos en dos de números pares (𝐴 = 1 𝑦 𝐵 = 0)


Estado previo Estado actual Entrada Flip Flop T
Decimal
𝑄2 𝑄1 𝑄0 𝑄2 𝑄1 𝑄0 𝑇2 𝑇1 𝑇0
0 0 0 0 0 1 0 0 1 0
1 0 0 1 0 0 0 0 0 1
2 0 1 0 1 0 0 1 1 0
3 0 1 1 0 0 0 0 1 1
4 1 0 0 1 1 0 0 1 0
5 1 0 1 0 0 0 1 0 1
6 1 1 0 0 0 0 1 1 0
7 1 1 1 0 0 0 1 1 1

4.2.4. Diseño contador ascendente de uno en uno (𝐴 = 1 𝑦 𝐵 = 1)


Estado previo Estado actual Entrada Flip Flop T
Decimal
𝑄2 𝑄1 𝑄0 𝑄2 𝑄1 𝑄0 𝑇2 𝑇1 𝑇0
0 0 0 0 0 0 1 0 0 1
1 0 0 1 0 1 0 0 1 1
2 0 1 0 0 1 1 0 0 1
3 0 1 1 1 0 0 1 1 1
4 1 0 0 1 0 1 0 0 1
5 1 0 1 1 1 0 0 1 1
6 1 1 0 1 1 1 0 0 1
7 1 1 1 0 0 0 1 1 1
4.3. Simplificación por mapas de Karnaugh

La simplificación por mapas de Karnaugh se hace para llegar a la expresión mas reducida con el fin de
emplear un número menor de componentes, ganar más espacio y hacer más fácil la implementación del
circuito diseñado
Se simplifica la función correspondiente a la entrada del Flip Flop T, para el presente circuito se
simplifican las tres entradas, correspondientes al número de bits que maneja el circuito.

4.3.1. Entrada para 𝑇0


00 01 11 10
1 1 0 1 0 1 1 1
0
0 1 1 1 1 1 0 1
1 1 0 1 0 1 1 1
1
0 1 1 1 1 1 0 1

4.3.2. Entrada para 𝑇1


00 01 11 10
1 1 1 0 1 0 0 1
0
1 0 0 1 1 1 1 0
1 1 1 0 1 0 0 1
1
1 0 0 1 1 1 1 0

4.3.2. Entrada para 𝑇2


00 01 11 10
1 1 1 0 0 0 1 0
0
0 0 0 0 0 1 1 0
0 1 1 0 0 0 0 0
1
0 0 1 0 1 1 1 0

4.4. Funciones de entrada

Con ayuda de los mapas de Karnaugh se obtienen las funciones simplificadas de las tres diferentes
entradas.

̅̅̅0 ∙ 𝐴̅ ∙ 𝐵̅ + 𝐴̅ ∙ 𝐵 + 𝑄0 ∙ 𝐴 ∙ 𝐵̅ + 𝐴 ∙ 𝐵
𝑇0 = 𝑄
̅̅̅1 + 𝑄0 ) ∙ 𝐴̅ ∙ 𝐵̅ + ̅̅̅
𝑇1 = (𝑄 𝑄0 ∙ 𝐴̅ ∙ 𝐵 + (𝑄 ̅̅̅0 + 𝑄1 ) ∙ 𝐴 ∙ 𝐵̅ + 𝑄0 ∙ 𝐴 ∙ 𝐵
̅̅̅1 ∙ 𝑄0 + ̅̅̅
𝑇2 = (𝑄 𝑄0 ∙ ̅̅̅
𝑄2 ) ∙ 𝐴̅ ∙ 𝐵̅ + ̅̅̅ ̅̅̅1 ∙ 𝐴̅ ∙ 𝐵 + (𝑄
𝑄0 ∙ 𝑄 ̅̅̅0 ∙ 𝑄1 + 𝑄2 ∙ 𝑄0 ) ∙ 𝐴 ∙ 𝐵̅ + 𝑄0 ∙ 𝑄1 ∙ 𝐴 ∙ 𝐵

Las funciones obtenidas todavía pueden simplificarse más mediante el Algebra de Boole, entonces se
tiene:

̅̅̅0 ∙ 𝐴̅ + 𝑄0 ∙ 𝐴)𝐵̅ + (𝐴̅ + 𝐴) ∙ 𝐵 = (𝑄0 ⨀𝐴) ∙ 𝐵̅ + 𝐵


𝑇0 = (𝑄
𝑇1 = (𝑄0 ⨁𝐵) ∙ 𝐴̅ + (𝑄1 ⨀𝐴) ∙ 𝐵̅ + (𝑄0 ⨀𝐵) ∙ 𝐴
𝑇2 = (𝑄0 ⨁𝐵) ∙ 𝑄 ̅̅̅1 ∙ 𝐴̅ + (𝑄0 ⨀𝐵) ∙ 𝐴 ∙ 𝑄1 + (𝑄
̅̅̅0 ∙ ̅̅̅
𝑄2 ∙ 𝐴̅ + 𝑄0 ∙ 𝑄2 ∙ 𝐴) ∙ 𝐵̅
Con las funciones simplificadas se implementa el circuito en el programa simulador PROTEUS versión 7,
en el cual se prueba si el circuito funciona como se desea antes de armarlo físicamente.
La simulación del circuito funciona de la manera pedida. Se remplazaron las funciones por compuertas
lógicas TTL y CMOS que cumplen las tablas de verdad requeridas. El circuito se simplifico a su mínima
expresión, de las funciones simplificadas se observa que algunas e estas se repiten varias veces por lo
tanto solo basta con tomar la señal y colocarla donde corresponda, para lograr la secuencia buscada.

Se emplearon las siguientes compuertas lógicas digitales:


Donde la compuerta XNOR o NOR exclusiva es una puerta lógica digital.
Cuando todas sus entradas son iguales entre sí para dos entradas A y B, o cuando
el número de 1 (unos) da una cantidad par para el caso de tres o más entradas, su
salida está en 1 o en ALTA.
Se puede ver claramente que la salida X solamente es "1" (1 lógico, nivel alto)
cuando la entrada A es igual a la B. Esta situación se representa en álgebra
booleana como:

La representación circuital es con pulsadores normales cerrados y abiertos,


conectados en un circuito combinado. En lógica, corresponde a la bicondicional
"si y sólo si". Por ejemplo: "Matías va a aprobar Tecnología de las Computadoras
si y sólo si estudia mucho".
Las puertas Lógicas XNOR se fabrican de dos entradas. Códigos de los fabricantes de Circuitos
Integrados para la compuerta EXNOR:
Tecnología TTL 74HC7266
Tecnología CMOS 4077

5. Observaciones

El circuito mostrado en simulación funciona adecuadamente de acuerdo a los requerimientos iniciales, se


debe tener cuidado a la hora de hacer las conexiones ya que es un circuito donde intervienen muchas
compuertas.

6. Conclusiones

El circuito simulado en computadora y el circuito implementado de forma física funcionan de acuerdo a


los requerimientos iniciales, por lo tanto, se demuestra la validez del procedimiento de diseño de
contadores y se muestra una clara aplicación del mismo, ya que puede obedecer a la secuencia requerida
para cada combinación de señales externas.

También podría gustarte