Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Presentado por:
Grupo 243004_33
Curso ELECTRNICA DIGITAL
Tutor:
Mario Ricardo Arbulu
El grupo tendr que hacer el diseo, el cual deber incluir los tres elementos
anteriores y los dems que el grupo considere necesarios.
IMPORTANTE: Todas las implementaciones en VHDL se deben hacer utilizando el
software Vivado. La implementacin se debe evidenciar en el informe con el pantallazo
de la descripcin de VHDL y con el pantallazo del RTL. El Pantallazo debe seguir la
indicaciones dadas en el video de la actividad de reconocimiento, de lo contrario
el aporte no se considerar vlido.
entity cronometro45 is
Port ( CLK : in STD_LOGIC;
RESET : in STD_LOGIC;
ENTRADA : in STD_LOGIC;
SALIDA : out STD_LOGIC
);
end cronometro45;
begin
process (CLK)
begin
if CLK'event and CLK ='1' then
if RESET ='1' then
Q <= '0';
else Q <= D;
end if;
end if;
Q <= D;
D <= not ENTRADA;
if ENTRADA = '1' and Q = '1' then
SALIDA <='1';
else SALIDA <='0';
end if;
end process;
end Behavioral;
entity cronometro45 is
Port ( CLK : in STD_LOGIC;
RESET : in STD_LOGIC;
ENABLE : in STD_LOGIC;
SALIDA : out STD_LOGIC
);
end cronometro45;
begin
process (CLK)
begin
if CLK'event and CLK ='1' then
if RESET ='1' then
Q <= '0';
elsif ENABLE ='1' then
Q <= D;
end if;
end if;
end process;
D <= not Q;
SALIDA <= Q;
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity simCONTADOR45 is
-- Port ( );
end simCONTADOR45;
component CONTADOR45
port(
clk : in std_logic;
reset : in std_logic;
salida : in std_logic_vector(5 downto 0);
);
end component;
-- Seales de salidas
signal salida : std_logic_vector(5 downto 0);
begin --seccion2
process
begin
clk <= '0';
wait for PERIOD/2;
clk <= '1';
wait for PERIOD/2;
end process;
UO: ContadorAsN
Port map (
clk => clk,
reset => reset1,
salida => salida
);
process
begin
--- Estmulos de la simulacin
wait for 100 ns;
wait;
end process;
end Behavioral;
Resumen Simulacion
Sitios web:
6. Chu, P. P. (2006). RTL Hardware Design Using VHDL : Coding for Efficiency,
Portability, and Scalability. Hoboken, N.J.: Wiley-IEEE Press (Chapter 4, pp. 69-95)).
Recuperado de:
http://bibliotecavirtual.unad.edu.co:2051/login.aspx?direct=true&db=e000xww&AN
=158127&lang=es&site=ehost-live
Sitios web:
12. Chu, P. P. (2006). RTL Hardware Design Using VHDL : Coding for Efficiency,
Portability, and Scalability. Hoboken, N.J.: Wiley-IEEE Press (Chapter 4, pp. 69-95)).
Recuperado de:
http://bibliotecavirtual.unad.edu.co:2051/login.aspx?direct=true&db=e000xww&AN
=158127&lang=es&site=ehost-live
Anexos: Evidencias de participacion individual
Flip-Flop Tipo T
Un circuito detector de Flanco
Pantallazos de las implementaciones en VHDL.
Flip-Flop Tipo T
Un circuito detector de Flanco
Simulacin de cada uno de los componentes del diseo.
Contador ascendente mdulo 45
Flip-Flop Tipo T
Cristhian Collazos