Documentos de Académico
Documentos de Profesional
Documentos de Cultura
INFORME #7 DE
Unidad lgica
Cdigo
Aritmtica (alu)
vhdl
PROFESORA:
DANIEL BANDA
ELABORADO POR:
GRUPO 7IT141
OBJETIVO
INTRODUCCIN
La unidad aritmtica lgica o unidad aritmtico-lgica, tambin conocida como ALU
(siglas en ingls de arithmetic logic unit), es un circuito digital que calcula operaciones
aritmticas (como suma, resta, multiplicacin, etc.) y operaciones lgicas (si, y, o, no),
entre valores (generalmente uno o dos) de los argumentos.
Por mucho, los circuitos electrnicos ms complejos son los que estn construidos
dentro de los chips de microprocesadores modernos. Por lo tanto, estos procesadores
tienen dentro de ellos un ALU muy complejo y potente. De hecho, un microprocesador
moderno (y los mainframes) puede tener mltiples ncleos, cada ncleo con mltiples
unidades de ejecucin, cada una de ellas con mltiples ALU.
PROCEDIMIENTOS Y RESULTADOS
1. Realizamos el cdigo de la ALU en el simulador Xilinx ISE Design Suite de manera
que cumpla con las operaciones (en orden) que aparecen en la tabla No. 1.
Tabla No. 1
S OPERACIN
0 0 0 SUMA (A + B)
0 0 1 A OR B
0 1 0 MULTIPLICACIN (A * B)
0 1 1 A AND B
1 0 0 RESTA (A B)
1 0 1 A XOR B
1 1 0 CDIGO DE ERROR
1 1 1 CDIGO DE ERROR
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
entity codalu is
Port ( s : in STD_LOGIC_VECTOR (2 downto 0);
A, B : in STD_LOGIC_VECTOR (3 downto 0);
y : out STD_LOGIC_VECTOR (7 downto 0));
end codalu;
begin
process (A,B,s)
begin
if (s = "000") then
y <= A + B;
elsif (s = "001") then
y <= A or B;
elsif (s = "010") then
y <= A * B;
elsif (s = "011") then
y <= A and B;
elsif (s = "100") then
y <= A - B;
elsif (s = "101") then
y <= A xor B;
elsif (s = "1100" or s = "111") then
y <= "11110000";
end if;
end process;
end Behavioral;
Para los primeros 50 ns siguientes se realizan la operacin lgica XOR entre 5(0101) Y
9 (1001) mostrando en la salida 12 (1100).
Para los siguientes 50 ns siguientes se realizan la operacin lgica XOR entre 12 (1100)
Y 6 (0110) mostrando en la salida 10 (1010).
Por ltimo se comprueban las dos salidas de error que corresponden a S=110 y S=111
que dan una salida 11110000 (240).
CONCLUSIN