Está en la página 1de 7

MANUAL DE USUARIO DEL BOOLE-DEUSTO

CONSIDERACIONES GENERALES

Cuando nos decidimos a disear el BOOLE-DEUSTO (a partir de ahora BOOLE a


secas) hace casi 10 aos lo hicimos porque pensamos que los entornos
profesionales de anlisis y diseo no cubran las necesidades acadmicas, y porque
aquellos entornos ms especficos para el aula no cubran todas las expectativas.
Esto no quiere decir que BOOLE venga a sustituir a por ejemplo Electronics
WorkBench, ISE de Xilinx, Or-CAD, etc., simplemente busca ayudar a los profesores
y alumnos de diseo digital.
Con la anterior declaracin nace ya la primera restriccin: sistemas
combinacionales a nivel de bit y autmatas, y no a nivel de palabra (sumadores,
codificadores, contadores, etc), aspecto ya perfectamente cubierto por el EWB, por
ejemplo. El mbito de uso de BOOLE es el aula en el primer curso de diseo lgico,
y por tanto los ejercicios han de ser pequeos. Bien es verdad que hemos usado
BOOLE para sistemas combinacionales de 10 entradas y autmatas con ms de 20
estados, pero no es la idea inicial, y deberamos evitar el uso profesional del BOOLE
para fabricar circuitos, a no ser que estemos muy seguros de la bondad del BOOLE,
situacin que debern ir marcando los propios usuarios.
En cuanto a aspectos generales, BOOLE es educativo, enfocado a la metodologa,
instalable en un solo .exe, fcilmente utilizable, grfico, adaptable al nivel del
usuario y en varios idiomas: espaol, euskara e ingls (es extenxible a otros
idiomas, por si alguien se anima). Seguro que ms de un usuario respirar al saber
que no hay que instalar, o que el manual no tiene 400 pginas.
Aunque en el manual se describen someramente las funciones, podemos enumerar
algunas para ir centrando al BOOLE: tablas de verdad, diagramas de VeitchKarnaugh, expresiones booleanas, circuitos lgicos, formas normales, circuitos
NAND/NOR, simplificacin de funciones, autmatas de Moore y Mealy, conversin
entre autmatas, minimizacin de estados, circuitos con J-K y D, tablas de
resultados, simulacin, programas VHDL y OrCAD-PLD, ficheros JEDEC, etc.
Por ltimo decir que la versin que aqu se entrega se presenta como definitiva, por
lo menos en lo que es su funcionalidad y aspecto general. Pero estaramos muy
agradecidos a aquellos que nos hagan llegar comentarios, errores o modos de uso
del BOOLE al correo zubia@eside.deusto.es. Asimismo es importante animar a los
usuarios a que se inscriban en el registro BOOLE para recibir las nuevas versiones
del BOOLE, o algn nuevo producto software didctico.

INSTALAR Y ARRANCAR BOOLE-DEUSTO


La instalacin es tan sencilla como copiar los ficheros .exe en su directorio. Si se
partiera de los .zip bastara con extraer los ficheros en el directorio elegido. El CD
contiene versiones en espaol, euskara e ingls. Los .exe son los mismos para los
tres idiomas, pero para ingls hay que copiar el boole.enu y el reductio.enu, y para
el euskara el boole.euq, no siendo fichero adicional ninguno para el espaol. En
principio cada usuario copiar una sola versin, pero si quisiera tenerlas todas,
deber tener una carpeta para cada idioma.
Los ficheros distribuidos son:
BOOLE.exe.
REDUCTIO.exe, puede prescindirse de l en la instalacin, es una aplicacin
externa para simplificacin booleana avanzada.
BOOLE.euq para la traduccin al euskara.
BOOLE.enu y REDUCTIO.enu para la traduccin al ingls.
No es necesario registrarse en ninguna web, pero s es recomendable enviar un
correo electrnico a zubia@eside.deusto.es para poder recibir gratuitamente las
nuevas versiones del BOOLE-DEUSTO. Basta con enviar el nombre, la universidad o
centro de enseanza, facultad, asignatura y curso en que se usa y nmero de

alumnos que lo usan. Tambin sern bienvenidos todo tipo de comentarios y


sugerencias.
Para arrancar la aplicacin bastar con hacer doble clic sobre el BOOLE.exe.

ANLISIS Y DISEO DE SISTEMAS COMBINACIONALES


Una vez que se ha arrancado el programa aparece una pantalla (ver figura 1) para
elegir sistemas combinacionales o secuenciales/autmatas. Empecemos por los
combinacionales.

Figura 1. Pantalla principal del BOOLE


1. En la parte superior de la imagen se debe completar obligatoriamente el nombre
del sistema y el nmero de entradas y salidas. En el ejemplo el sistema se llama
ejer y tiene 4 entradas y dos salidas (ver figura 2). El sistema por defecto asocia
unos nombres a las entradas y salidas, pudiendo cambiarlas el usuario.

Figura 2. Pantalla principal de Sistemas Combinacioanles


2. Seguidamente el usuario podr proceder en el orden que desee, pero sigamos
una secuencia tpica de ejercicio. En este caso activaremos la opcin Tabla de
Verdad Manual y con la imagen en la pantalla (ver figura 3) bastar con hacer clics
de ratn para insertar los 1, 0 o X correspondientes a cada fila. Al pulsar Evaluar el
sistema quedar guardado.

Figura 3. Carga de la Tabla de Verdad


3. Una vez introducido el sistema, el usuario obtendr las formas cannicas o
normales activando la opcin Forma Normal Disyuntiva (ver figura 4). Con la
pantalla de la imagen a la vista el usuario podr aceptar los resultados o incluso
modificarlos, pero deber tener en cuenta que este cambio se trasladar a todo el
sistema, incluida la tabla de verdad. Para ver la segunda salida, el usuario deber
usar la barra de desplazamiento de la izquierda.

Figura 4. Formas Normales del sistema

4. El siguiente paso ser obtener las expresiones simplificadas de cada salida. Para
esto bastar con activar la opcin Exp. SOP Simplificada. En la pantalla de la figura
5 vemos la expresin booleana de F1.

Figura 5. Expresin booleana simplificada


En la parte inferior de la imagen podemos elegir ver las expresiones en modo
NAND/NOR o ver el circuito lgico correspondiente. La figura 6 muestra el circuito
lgico de la funcin F1.

Figura 6. Circuito lgico


5. Adems de obtener el circuito podramos haber elegido ver los diagramas V-K. La
imagen muestra el diagrama de V-K con los lazos de simplificacin dibujados (ver
figura 7). En la pantalla el usuario puede ver el resultado completo o puede ver
dibujado cada lazo por separado utilizando la parte inferior izquierda. Adems el
usuario puede utilizar dos tipos de V-K dibujados de dos maneras, utilizando la parte
superior izquierda. La parte de los V-K es seguramente la ms valorada por los
usuarios, y en la que ms se ha esforzado el equipo de diseo.

Figura 7. Diagrama de Veitch-Karnaugh con la funcin simplificada


6. Una vez que el usuario tiene el circuito puede acabar aqu el ejercicio y pasar al
laboratorio para implementarlo con CI 74XX. Pero quiz el usuario quiera darle un
enfoque ms profesional, y aunque este no es el enfoque original del BOOLE (es
didctico), s permite obtener el correspondiente programa en VHDL u OrCAD-PLD
(estndares de lgica programable), o mejor aun, permite obtener directamente el
JEDEC listo para ser grabado en una PAL 22V10. La figura 8 muestra el aspecto de
parte del fichero JEDEC.

Figura 8. Fichero JEDEC generado por el BOOLE

También podría gustarte