Está en la página 1de 54

Ing. Yesid E.

Santafe Ramon

CIRCUITOS LGICOS COMBINATORIOS

La evolucin de la electrnica digital ha llevado


a la comercializacin de circuitos integrados de
media escala de integracin (MSI) que
representan soluciones ya hechas a una gran
variedad
de
problemas
de
lgica
combinacional y secuencial. As como su
utilizacin y adaptacin a diversos problemas
mediante la lgica SSI (pequea escala de
integracin)

CIRCUITOS COMBINATORIOS

Un circuito combinatorio es un arreglo de


compuertas lgicas con un conjunto de
entradas y salidas. En cualquier
momento, los valores binarios de las
salidas son una combinacin binarias de
las entradas.

DIAGRAMA DE UN CIRCUITO COMBINATORIO

n variables
de entrada

Circuito
Combinatorio

m variables
de salida

USO

Los circuitos combinatorios se emplean en las


computadoras digitales para generar
decisiones de control binarias y para
proporcionar los componentes digitales
requeridos para el procesamiento de datos.

ANLISIS DE UN C.C.
El anlisis de un C.C. inicia con un diagrama de
circuito lgico determinado y culmina con un
conjunto de funciones booleanas o una tabla
de verdad.
Ejemplo

Semisumador
Sumador

Completo

DISEO DE UN C.C.
El diseo de un circuito combinatorio parte del planteamiento
verbal del problema y termina con un diagrama lgico. El
procedimiento es el siguiente:

1.
2.
3.
4.
5.

Se establece el problema
Se asignan smbolos a las variables de entrada y salida.
Se extrae la tabla de verdad.
Se obtienen las funciones booleanas simplificadas.
Se traza el diagrama lgico

EJEMPLOS DE DISEO
Comparador de magnitud
Medio sumador
Sumador Completo
Medio Restador
Restador Completo
Decodificador
Multiplexor

SEMISUMADOR (MEDIO SUMADOR O HALF


ADDER)
El circuito aritmtico digital ms simple es el de
la suma de dos dgitos binarios. Un circuito
combinatorio que ejecuta la suma de dos bits
se llama semisumador
Implementarlo

DIAGRAMA LGICO DEL MEDIO-SUMADOR


HALF-ADDER

SUMADOR COMPLETO

Otro mtodo para sumar dos nmeros de n bits


consiste en utilizar circuitos separados para
cada par correspondiente de bits: los dos bits
que se van a sumar, junto con el acarreo
resultante de la suma de los bits menos
significativos, lo cual producir como salidas
un bit de la suma y un bit del acarreo de salida
del bit ms signifcativo.

DIAGRAMA EN BLOQUE DE UN SUMADOR


COMPLETO (FULL ADDER)
Xi

Si
Full Adder
F.A.

Yi

Ci+1

Ci
Sumador completo de dos palabras de un bit

IMPLEMENTACIN DE UN FA CON DOS HA


Un sumador completo resulta de la unin de dos medios sumadores.

SUMADORES EN CASCADA

Es posible realizar sumas de dos palabras de n


bits, usando n sumadores completos en
cascada, esto quiere decir que los acarreos de
salida de los bits menos significativos debern
estar conectadas a las entradas de acarreo de
los bits ms significativos

IMPLEMENTACIN DE UN SUMADOR EN
CASCADA

Para dos palabras de 4 bits.

SUMADOR/RESTADOR

A-B = A+B+1, para realizar el complemento se usan las compuertas xor.

MULTIPLEXORES Y DEMULTIPLEXORES

La idea fundamental en la utilizacin de


multiplexores (MUX) y demultiplexores (DEMUX)
es el ahorro de lneas de comunicacin, es
decir, el uso de una sola lnea para realizar
mltiples funciones, o para conectar a travs
de ella mltiples fuentes de informacin o
seales a transmitir.

MULTIPLEXORES (SELECTORES)

Existen dos tipos bsicos de Multiplexores:

De varias entradas a una salida, llamados de selectores de


2n a 1, o simplemente MUX (del ingls multiplexer) de 2n a 1.
De una entrada a varias salidas, llamados selectores de 1 a
2n o simplemente DEMUX (del ingls demultiplexer) de 2n a
1.

MULTIPLEXORES

Definicin
Un

multiplexor digital es un circuito con 2n lneas de


entrada de datos y una lnea de salida; tambin
debe tener una manera de determinar la lnea de
entrada de datos especfica que se va a
seleccionar en cualquier momento. Esto se efecta
con otras n lneas de entrada, denominadas
entradas de seleccin, cuya funcin es elegir una
de las 2n entradas de datos para la conexin con la
salida

MULTIPLEXORES

Problemtica
Los

datos que se generan en una localidad se van a usar


en otra, para esto se necesita un mtodo para
transmitirlos de una localidad a otra a travs de algn
canal de comunicaciones.

Entrada de
datos

.
.
.

Canal de comunicaciones

.
.
.

demultiplexor
multiplexor

Salida de
datos

DISEAR UN MUX DE 4 A 1
Solucin:
Para seleccionar 4=2^2 lineas de datos se
requieren 2 lineas de seleccin, por lo tanto, el
diagrama de bloques del circuito a disear es
como sigue

Como se puede ver, la tabla de verdad para


describir el funcionamiento del circuito anterior
requerir 2^6= 64 renglones, por ello, en este
caso se presenta una versin reducida de
dicha tabla, para lograr esta versin reducida
consideramos slo como entradas las lineas de
seleccin B, A y escribimos la salida en
trminos de las otras cuatro entradas:

Este tipo de tabla de verdad se denomina Tabla


de Verdad con Variables Introducidas, dado
que para formarla se han introducido las 4
variables de entrada D0, D1, D2, D3 que en
una tabla de verdad normal iran afuera de la
tabla

MULTIPLEXOR 4X1

La siguiente es una lista de los MUX de circuito


integrado ms populares de la familia TTL:
74157: Cuatro mux de 2 a 1 con seal strobe
74158: Cuatro mux de 2 a 1 con seal strobe
salidas invertidas
74153: Dos mux de 4 a 1 con strobe
74151: Un mux de 8 a 1 (salida invertida y sin
invertir), con strobe
74152: Un mux de 8 a 1 (salida invertida)
74150: Un mux de 16 a 1 con strobe

MULTIPLEXOR 8 A 1

si se tiene duda de como funciona


exactamente el circuito, se puede consultar
la tabla de verdad en las hojas de datos del
fabricante, como se muestra a continuacin.
En esta tabla se aclara el funcionamiento de
la entrada strobe (S) que como se puede
ver
es
una
seal
de

habilitacin/deshabilitacin del mux.

La seal Strobe (S) permite la interconexin


de un mux con otros mux para expandir su
capacidad a un mayor nmero de entradas

TABLA DE FUNCIN DE UN MUX 4 A 1


Seleccin

Salida

S1

S0

I0

I1

I2

I3

Esta tabla demuestra la relacin entre las cuatro entradas


De datos y la salida nica como funcin de las entradas de
Seleccin S1 y S0.

MUX 8X1

PROBLEMA

Implementacin de un mux de 16 a 1 usando


circuitos 74151.

DECODIFICADORES

Las cantidades discretas de informacin se representan en


sistemas digitales con cdigos binarios (ejemplo: BCD, EXCESO
3, 84-2-1, 2421, etc.). Un cdigo binario de n bits es capaz de
representar hasta 2n elementos distintos de informacin
codificada.
Un decodificador es un circuito combinatorio que convierte
informacin binaria de n lneas de entrada a un mximo de 2n
lneas nicas de salida o menos. Estos decodificadores son
denominados decodificadores n-a-m lneas, donde m 2n.

DECODIFICADORES

Estos dispositivos normalmente cuentan con


una entrada habilitadora. Cuando esta entrada
vale 0, todas las salidas del codificador son 0.
Cuando la entrada habilitadora vale 1, la salida
correspondiente al minitrmino formado por la
combinacin presente en las n entradas
tomar el valor 1 y las dems tomarn el valor
0.

DECODIFICADOR 2 X 4

Un valor de x en las entradas indica que puede tomar el valor de 1 o 0.

DEC 2x4

Hab.
C1 C0
0
1
1
1
1

X
0
0
1
1

X
0
1
0
1

S0
S1
S2
S3

0
0
0
0

1
0
0
0

0
1
0
0

0
0
1
0

0
0
0
1

DECODIFICADOR 2X4

Las funciones lgicas para las salidas del


codificador 2x4 son:
S0 HC1C0
S1 HC1C0
S0 HC1C0
S0 HC1C0

DECODIFICADORES
De forma semejante a como se define el
decodificador
2x4,
pueden
definirse
decodificadores de 3x8, 4x16, 5x32 y en forma
general de nx2n.
La principal utilizacin de este dispositivo es
cuando se tiene N alternativas que se pueden
seleccionar, pero se desea seleccionar
solamente una de ella.
Tambin puede ser usado para construir
funciones lgicas ver ejemplo.

EJEMPLO

Diseo de un demux de 2 a 4, El diagrama de


bloques correspondiente a un demux de 2 a 4
es como sigue

De la tabla de verdad obtenemos con un poco


de anlisis :Yo = BAE, Y1 = BAE,Y2 = BAE,
Y3 = BAE, lo cual nos conduce a la
implementacin que se muestra en la siguiente
figura

DECODIFICADOR 3X8

En la actualidad se tienen varios demultiplexores en


circuito integrado. Por ejemplo, un circuito equivalente al
diseado en el ejemplo es el 74155. El 74155 es un CI que
se puede usar como dos decodificadores de 2 a 4 bien
como dos demultiplexores de 1 a 4 , como un
decodificador de 3 a 8 un demultiplexor de 1 a 8. Ya que
como ya se dijo, un demultiplexor se convierte en un
decodificador al conectar su entrada a un estado lgico fijo.

La siguiente es una lista de los demultiplexores/decodificadores ms


populares en cicuito integrado
de la familia TTL
74138: Demux/decodificador de 3 a 8
74139: Demux/decodificador de 2 a 4, doble
74141: Decodificador/driver BCD - decimal
74154: Demux/Decodificador de 4 a 16
74159: Demux/decodificador de 4 a 16 con salidas de colector
abierto
74155: Demux/decodificador doble de 2 a 4
74156: igual al 74155, pero con salidas de colector abierto.

EJEMPLO
En la siguiente figura se muestra como se implementara
un demux de 1 a 16 usando circuitos 74138

Existen algunos paquetes en circuito integrado que


realizan funciones lgicas muy usuales y que representan
una ligera variante a los decodificadores mencionados
anteriormente, tales decodificadores especiales son:).

7445: Decodificador/driver de BCD a decimal


(decodificador de 4 a 10 con capacidad de alta
corriente (80 mA por salida). tiene salidas activas en bajo
7446, 7447: Decodificadores /driver de BCD a 7
segmentos con salidas de colector abierto. Estos
circuitos manejan alto voltaje de salida (15 volts para el 7447
y 30 volts para el 7446). manejan alta corriente de salida y
tienen salidas activas en bajo, lo cual los hace compatibles
con desplegadores de 7 segmentos de nodo comn
7449: Decodificador/driver de BCD a 7 segmentos con
salidas activas en alto (compatible con
desplegadores de ctodo comn).

LT (Lamp Test).- Cuando esta seal se activa (en bajo) todas las
salidas de segmento se activan.
Esto sirve para probar si los leds del desplegador estn o no en buen
estado, ya que en esta condicin todos debern encender, si no es
as, probablemente alguno este daado).
RBI/RBO (Right Blank Input/Output).- Esta es una salida de
colector abierto que funciona en conjuncin con la entrada RBI que
se explica a continuacin
RBI (Right Blank Input).- Cuando esta entrada es activada (en
bajo) y el dato BCD de entrada al 7447 es cero (DCBA = 0000) en
lugar de activar el cdigo de 7 segmentos del cero, apaga todos
los segmentos y adems activa RBO (en bajo).

CODIFICADOR

Un codificador es un circuito digital que ejecuta la operacin


inversa de un decodificador. Un codificador tiene 2n (o menos)
lneas de entrada y n lneas de salida. Las lneas de salida
generan un cdigo binario correspondiente al valor de entrada
binario.
Ver codificador de octal a binario (tabla 2-2).

CODIFICADOR OCTAL A BINARIO

Entradas

Salidas

D7

D6

D5

D4

D3

D2

D1

D0

A2

A1

A0

CODIFICADOR OCTAL A BINARIO

El codificador puede implantarse con compuertas OR cuyas


entradas se determinan directamente de la tabla de verdad. Por
ejemplo, la salida es A0 ser igual a 1 si el digito octal de entrada
es 1 o 3 o 5 o 7.
Las funciones de este codificador son las siguientes:

A0 = D1+D3+D5+D7
A1 = D2+D3+D6+D7
A3 = D4+D5+D6+D7

CODIFICACIN DE TECLADOS

Los teclados numricos y alfanumricos son un


dispositivo extremadamente til para la
introduccin de datos a un sistema digital. Las
teclas de un teclado normalmente accionan
interruptores que tienen dos contactos
normalmente abiertos que se cierran cuando la
tecla es presionada.

Codificar un teclado significa asignar un


cdigo binario a cada una de las teclas que
componen el teclado. As como decodificarlo
significa determinar cual de las teclas fue
presionada de acuerdo al cdigo que la
representa. La codificacin de teclados se
puede realizar usando multiplexores y
demultiplexores

Como ejemplo enseguida se ilustra un


esquema para codificar 64 teclas arregladas
en una matriz de 8x8, usando un 74155 (como
decodificador de 3 a 8) y un multiplexor 74152.
En este ejemplo un nivel ALTO en la salida del
74152 indica que una tecla se oprimi y la
combinacin de las 3 entradas de seleccin del
74152 con las del 74155 determinan cual fue
la tecla que se oprimi

GRACIAS

También podría gustarte