Está en la página 1de 17

13

P: 10
20/09/2012
20/09/2012

Implementacin de una
bveda de banco mediante
Vhdl

GRUPO: 8
INTEGRANTES:
JOSSELYN JORDAN
CESAR MEJIA
ANGEL PEREZ
LUIGGY MONTESDEOCA
ACESORA: Lcda. VILLAMAR
BELTRN VERNICA
GRUPO: 8

13
DATOS GENERALES DEL PROYECTO.
1.1 TITULO DEL PROYECTO

Implementacin de una bveda de banco mediante una tarjeta VHDL


1.2 REA DE CONOCIMIENTO Y/O CENTRO DE INVESTIGACIN
1.3 TIPO DE INVESTIGACIN.
TECNOLGICO ( )

BSICA (

APLICADA (X ) DESARROLLO

1.4 MBITO
JUVENTUD ( ) INTERCULTURALIDAD ( )
EDUCACIN ( ) TECNOLOGAS (X ) OTROS ( )
1.5 LNEA DE INVESTIGACIN: ANTEPROYECTO - ANTITESIS
1.6 LOCALIZACIN.- UNIVERSIDAD POLITECNICA SALESIANA- SEDE: GUAYAQUIL

Antecedentes:
A lo largo de la historia se ha buscado implementar sistemas de seguridad en
diferentes instancias que precautele los bienes de la sociedad en general.
Los avances tecnolgicos del siglo XXI recabaron amplios aspectos de mejora
en los sistemas informticos y electrnicos dando lugar a reduccin de tiempos
de procesos, mayor exactitud en su resultado, de estos cambios los costos
productivos bajaron, en visto de la mejora en la productividad en sus procesos.
La amplia gama de hardware de punta existente en el mercado tecnolgico ha
generado que las empresas financieras con formacin tcnica hayan
incursionado para la mejora de la seguridad integrada en las organizaciones.
Este tipo de seguridad implementado es orientada a la proteccin en la
integridad de los bienes muebles e inmuebles de una entidad pblica o
privada. Dando un mejor resultado en la seguridad con respecto a los usuarios
y propietarios de esta organizacin refirindose a la exactitud que la seguridad
debe tener validacin acorde con las pautas fijadas por la entidad y
regulaciones externa.

Justificacin:
Ante la necesidad de implementar un sistema en una bveda de banco el cual
precautela la seguridad del dinero almacenado de los clientes nuestro proyecto
se justifica por:

GRUPO: 8

13
-

Por qu este sistema de seguridad es beneficiado hacia las dems


personas por el cual brinda un grado de integridad y seguridad a los
usuarios sobre sus bienes

Para reforzar la seguridad ante la delincuencia entonces los usuarios


tendrn un nivel asegurado de calidad sobre la seguridad de sus bienes.

Tomando en cuenta los problemas que presentan actualmente las


entidades financieras se da a resaltar que es necesario tomar medidas
para socavar los robos y delincuencias mediante nuestro sistema.

Objetivos generales:
La implementacin de sistemas de seguridad de Equipos programables est
orientada a brindar proteccin contra las contingencias relacionadas en la
infraestructura de seguridad actualmente instalada en la bveda de banco; el
proyecto radica en las mejoras de los equipos y software de implementacin
desarrollada para una mejor seguridad.

Objetivos especficos:
1.-investigar: el funcionamiento de la tarjeta VHDL para obtener de manera
eficaz un correcto manejo, utilidad y servicios propuestos en nuestro sistema.
2.- analizar: el nivel de seguridad que contiene el sistema de la bveda
actualmente y evaluar los peligros constantes que amenazan a la integridad
delos bienes guardado por los clientes.
3.- fortalecer: el sistema de seguridad que tiene dicha bveda por medio de
equipos especializados en el tema de la seguridad llevando a cabo mayor
confianza a los beneficiados.
4.- desarrollar: de una manera eficaz los requisitos y condiciones obligados a
llevar en nuestro sistema implementado.
5.- verificar: el correcto funcionamiento de nuestro sistema el cual se va a
dirigir a los objetivos predispuestos.

ACTIVIDADES:
Haciendo nfasis en nuestro objetivo general la implementacin de sistemas
de seguridad de Equipos programables en servicio para el banco acerca de la

GRUPO: 8

13
seguridad electrnica en entidades bancarias y los aspectos que hay que tener
en cuenta cuando se planean soluciones para compaas de este tipo.
La cual debe ser orientada a brindar proteccin contra las contingencias
relacionadas en la infraestructura de seguridad actualmente instalada en la
bveda de banco.
La seguridad que representan las entidades financieras est sustentada tanto
en tecnologas de seguridad, software y hardware, como en polticas y
procedimientos internos. Pero estas medidas deben estar sujetas a criterios
como: los riesgos a los que est expuesta la entidad bancaria, el volumen de
los fondos y valores que se custodian en la oficina bancaria, la ubicacin
geogrfica y comercial de la misma, la siniestralidad de la regin donde est
ubicada la oficina.

ESTADO DE ARTE Y ESTADO DE LA


CIENCIA:
En la actualidad el nivel de integracin alcanzado con el desarrollo de la
microelectrnica ha hecho posible desarrollar sistemas completos dentro de un
solo circuito integrado SOC (System On Chip), con lo cual se han mejorado de
manera notoria caractersticas como velocidad, confiabilidad, consumo de
potencia y sobre todo el rea de diseo. Esta ltima caracterstica nos ha
permitido observar da a da cmo los sistemas de uso industrial, militar y de
consumo han minimizado el tamao de sus desarrollos; por ejemplo, los
telfonos celulares, computadoras personales, calculadoras de bolsillo,
agendas electrnicas, relojes digitales, sistemas de audio, sistemas de
telecomunicaciones, etc., no son ms que aplicaciones tpicas que muestran la
evolucin de los circuitos integrados tambin conocidos como chips. El proceso
de los sistemas electrnicos empleados en la seguridad comenz con la
interconexin de elementos discretos como resistencias, capacitores,
resistores, bobinas, etc., todos colocados en un chasis reducido y una escasa
separacin entre ellos. Posteriormente se disearon y construyeron los
primeros circuitos impresos an vigentes, que relacionan e interconectarlos
elementos mencionados a travs de cintas delgadas de cobre adheridas a un
soporte aislante (por lo general baquelita) que permite el montaje de estos
elementos.
Las soluciones de seguridad bancaria se disean buscando la minimizacin del
riesgo, utilizando sistemas electrnicos de ltima tecnologa que abarcan los
anillos bsicos de seguridad: anillo perimetral, anillo interior y uno para
proteger las reas de manejo del efectivo como bodegas, cajas fuertes y

GRUPO: 8

13
cajeros electrnicos.
Despus de que se disea el Mapa de Riesgos, que parte bsicamente del
modelo arquitectnico y sus cualidades y deficiencias, se ajusta y se determina
el estndar de seguridad a instalar. En ese sentido sealamos que desde el
punto de vista de la seguridad, el anlisis debe estar basado en el tipo de
negocio del cliente, al igual que los riesgos y vulnerabilidades que enfrenta. La
implementacin de la solucin debe tomar en consideracin las necesidades
del presente y las necesidades del futuro.
En muchas oportunidades las edificaciones son construidas sin tener en cuenta
las necesidades de seguridad que el tipo de negocio demanda. Es por ello que
las condiciones fsicas influyen, positiva o negativamente, a la hora de la
instalacin de los sistemas de seguridad. El proceso de instalacin depende
del diseo, pues a veces se debe sacrificar la esttica del local por la seguridad
requerida, en funcin de no alterar las condiciones arquitectnicas del
inmueble.
En definitiva, las soluciones que deben implementarse dentro de una entidad
bancaria se escogen con base en el criterio de instalaciones y de seguridad y
los procedimientos de seguridad aplicadas a cada organizacin, las cuales se
ajustan y se disean de acuerdo a cada una y con base en el mapa de riesgos.

Anlogo Vs digital
Uno de los temas que se quiso aborda, fue el de integrar sistemas anlogos
con tecnologas recientes. Que trae mejores beneficios para los clientes
cambiar totalmente el sistema anlogo. Sugerimos cambiarlo completamente,
pues es claro que la tecnologa anloga ya no presta la misma utilidad y
funcionalidad que se requera en el pasado. Hoy en da esta tecnologa no
cumple los mismos propsitos, pues los sistemas digitales permiten mltiples y
mayores beneficios cuantificados en tiempo, productividad, resultados de
seguridad, capacidad de anlisis de informacin, calidad de la informacin,
eficiencia en la administracin de la seguridad y costos asociados a las
perdidas por ilcitos.
La experiencia me indica que sale ms barato cambiar el sistema en su
totalidad en vez de tratar de integrarlo con otros. Siempre existe la excepcin,
pero por lo general el tratar de integrar sistemas anlogos con sistemas
digitales produce mltiples problemas en el futuro.
No obstante, el tratar de integrar sistemas anlogos y digitales es tan slo uno
de los problemas con los que se encuentran las personas y empresas
encargadas de instalar un sistema de seguridad. El principal de ellos, son las

GRUPO: 8

13
mismas edificaciones en las que se instalan los dispositivos, ya que el diseo
de las estructuras no se ajusta a las necesidades del sistema de seguridad. Es
por ello que los especialistas recomiendan que ambos diseos (arquitectnico y
de seguridad) se hagan simultneamente; de esta manera al tenerse que
modificar los edificios o facilidades para la instalacin de cables, cmaras y
otros equipos se incurre en gastos adicionales.
El tema de presupuestos se considera un gran inconveniente cuando ste es
reducido ya que no permite acceder al sistema de seguridad ideal y requerido
para brindar la proteccin necesaria.

Tendencias actuales
En lo que respecta a la multiplicidad de equipos que ofrece el mercado para el
tema de seguridad bancaria, se opina que los que ms se estn
implementando y le ofrecen mayores beneficios a estas entidades son los que
permiten la integracin de alarmas, CCTV digital, controles de acceso y
sistemas de esclusas. Los beneficios son que los bancos pueden contar con
sistemas interactivos, eficientes y que permiten desarrollar un control
administrativo de su seguridad en forma ms segura, con informacin oportuna
y veraz, al tiempo que les permite contar con sistemas de seguridad diseados
especialmente para sus necesidades.
Por otros mbitos los ms utilizados en la actualidad son los sistemas de
grabacin digital con capacidad de archivo digital de las transacciones y
sistemas inalmbricos de alarmas.
Pero, qu gana una entidad bancaria implementando adecuados sistemas de
seguridad? La respuesta puede responderse desde lo bsico como la
posibilidad de proteger los bienes que en ella se encuentran y el personal que
en ella labora o la visita diariamente, hasta el incremento de aspectos como la
credibilidad, la seguridad, la imagen, el respaldo y la confianza de sus clientes.

Problema propuesto por la entidad financiera


Realizar una descripcin VHDL de las siguientes funciones lgicas, o grupos
de funciones lgicas
F (A, B, C) = AB + A B C
F (A, B, C, D) = C (A + D) (A + B + D)
F (A, B, C, D) = A + B (A C) + B C + A C
F1 (A, B, C) = A B C + B C + A B C + B C
GRUPO: 8

13
F2 (A, B, C) = A B C + B C
F3 (A, B, C) = A B C + B C
Realizar la descripcin VHDL de una entidad para el clculo de la distancia de
Hamming de dos palabras binarias de N bits).
Una bombilla (B) en un panel de control se enciende si: el sistema (S) est ON
y, el modo (M) de funcionamiento es automtico, o bien el modo de
funcionamiento es manual y el control (C) est en situacin de espera.
Representar este enunciado por una funcin lgica y su descripcin VHDL.
Una corporacin financiera debe resolver un problema transcendente para su
futuro. Para ello su presidente pide opinin a sus tres mejores economistas A, B
y C, y conociendo como razonan decide que se tomar una decisin positiva si
A y B estn a favor, o no lo estn ni A ni C, o si lo est B pero no C. Los
economistas utilizan el siguiente proceso de decisin:
- A est a favor si hace buen tiempo y, es antes del medioda siendo el da del
mes par o es despus del medioda.
- B est en contra si el da del mes es impar o hace buen tiempo y, es antes del
medioda o hace mal tiempo.
- C est en contra si es antes del medioda, hace mal tiempo y el da del mes es
par.
Encontrar las ecuaciones lgicas que definen el sistema y realizar una
descripcin VHDL del problema
Se desea disear un sistema de seguridad para la apertura de dos puertas P1 o
P2 con una alarma Z que salta cuando la apertura es incorrecta.
El sistema se activa al introducir una tarjeta en un lector y al teclear una clave
de acceso. Primero se teclea la clave de acceso: los cdigos autorizados se
introducen mediante tres teclas C, D y E correspondientes a la clave de acceso
autorizado, que se presionan (1) o no (0), las cdigos vlidos para la puerta P1
son 100 y 111, y los cdigos vlidos para la puerta P2 son 110 y 011. Tecleada
la clave se introduce la tarjeta cuya validez se controla mediante la lectura de
un cdigo de dos bits A y B, ledo por el lector, con los siguientes valores AB =
01 tarjeta no introducida, AB = 00 tarjeta vlida para la puerta P1, AB = 10
tarjeta vlida para la puerta P2, AB = 11 tarjeta no vlida.
Si la tarjeta tiene un cdigo no vlido o si la clave introducida es incorrecta
debe sonar la alarma Z al introducir la tarjeta. Si la clave introducida es
correcta se abrir la puerta correspondiente al introducir la tarjeta.
Encontrar las ecuaciones lgicas que rigen este sistema de seguridad, y
representarlo en cdigo VHDL.
Se desea disear un circuito lgico para determinar el vencedor de un combate
entre dos contendientes X e Y mediante las siguientes especificaciones:
- El combate ser a tres toques. El vencedor se declara cuando uno o los dos
contendientes llegue a tres toques (se permite la posibilidad de toque
simultneo), o se llegue al llegar al final del tiempo de combate. El nmero de
toques (de 0 a 3) realizado por cada contendiente se almacena en binario en
dos variables lgicas para X (x1x0) y dos para Y (y1y0).
- Al finalizar el combate se declara vencedor al contendiente que haya
realizado ms toques. En caso de empate el combate se dilucida por la
decisin de un rbitro (variable lgica A) que declara vencedor a X (A a valor 1)
a Y (A a valor 0). El rbitro no puede declarar el combate empatado.
- Para mantener la emocin del combate hasta el final el sistema de cuenta de
toques est ligeramente amaado de forma que no permite en ningn caso

GRUPO: 8

13
que un contendiente tome ventaja de dos toques sobre su rival, es decir la
victoria siempre ser por la mnima o por decisin arbitral.
Realizar una descripcin VHDL que permita obtener el ganador del combate.
Se deben considerar las siguientes entradas: T que determina si se ha llegado
o no al final del tiempo de combate, nX y nY que indica el nmero de toques
realizado por cada contendiente y A que contiene la decisin del rbitro. Se
deben utilizar dos salidas Gx y Gy que indican el vencedor, Gx y Gy estn a 0
hasta que se determine si ha vencido X (Gx a 1) o Y (Gy a 1) en funcin del
valor de las entradas.
Una sociedad est formada por 5 socios A, B, C, D y E que tienen
respectivamente el 25%, 25%, 25%, 15% y 10% de las acciones.
Los estatutos de la sociedad indican que una toma de decisin es positiva si el
tanto por ciento a favor es mayor del 65%, o si estando entre el 35% y el 65%
(ambos inclusives) hay mayora de votos a favor entre los tres socios ms
antiguos C, D y E (sin contar su porcentaje respectivo). En caso contrario, la
decisin es negativa.
Realizar una descripcin VHDL de alto nivel del problema a partir de este
enunciado (por ejemplo utilizar variables de tipo entero para calcular el
porcentaje de voto favorable o el nmero de votos a favor).
Describir en lenguaje VHDL los siguientes conjuntos de funciones lgicas:
a) F (A, B, C) = (0, 5, 6, 7)
b) F (A, B, C) = (1, 2, 4, 5, 6, 7)
c) F1 (A, B, C) = (0, 1, 2, 3, 5)
F2 (A, B, C) = (2, 3, 5, 6)
F3 (A, B, C) = (0, 1, 6)
Realizar una descripcin estructural de los siguientes circuitos lgicos.

Realizar una descripcin estructural de un sumador completo de 4 bits


utilizando como mdulos 4 sumadores completos de 1 bit.
Realizar una descripcin estructural de un multiplicador de dos operando de
4 bits usando como mdulos 4 sumadores completos de 4 bits y 16 puertas
and.
Describir en lenguaje VHDL los siguientes conjuntos de funciones lgicas:
F1(A, B, C) = (0, 2, 3, 4, 5) + (6)
F2(A, B, C) = (5, 6) + (1, 2)
F3(A, B, C) = (3, 4) + (0, 1)
Un sistema activa una luz artificial L en funcin de las medidas de cuatro sensores de luz natural
que activan o desactivan unas seales lgicas A, B, C y D segn el nivel de luz sea menor o mayor
de una valor umbral dado, y una seal de control horario H que permite calibrar de dos maneras

GRUPO: 8

13
distintas la influencia de los sensores. En funcin de los sensores se obtiene un nivel final de luz
dado por
N = PA A + PB B + PC C + PD D,
Donde PA, PB, PC y PD es el peso de cada sensor.
En funcin de la seal H los pesos PA, PB, PC y PD son respectivamente 3, 5, 7, 2 cuando se usa
el primer control horario (H =0), y son 6, 4, 1, 4 cuando se usa el segundo (H = 1).
L se activa cuando N toma los valores 2, 4, 5, 7, 11 y 17, y se desactiva cuando N toma los valores
3, 6, 8, 9, 12, 13 y 15. Se desea obtener un circuito que implemente L = F(H, A, B, C, D).
Realizar una descripcin VHDL segn el enunciado del problema.
Dada la siguiente descripcin VHDL de una funcin lgica, encontrar una forma mnima SOP para
Z = F(A,B,C,D1,D0). Se utiliza el tipo std_logic para poder trabajar con dont cares.
library ieee;
use ieee.std_logic_1164.all;
entity pr3is
port (A,B,C:in std_logic;
D:in std_logic_vector(1downto 0);
Z:out std_logic);
end pr3;
architecture descrof pr3is
begin
process(A,B,C,D)
begin
if ((A=D(1)and B/=D(0))or (C/=D(1)and C=D(0)and A=B))then
Z<=;
else case Dis
when 00=>Z<=(Aand Band C)or ((not A)and (not B)and (not C));
when 10=>Z<=Aor (not C);
when 11=>if (A=0)then Z<=not B
else Z<=C;
end if;
when others =>Z<=C;
end case;
end if;
end process;
end descr;

El sistema debe resultar confiable y constar del mnimo de instrucciones para que sea
lo ms eficiente posible tanto en costos de materiales como en memoria de programa
y desempeo.
En su primera parte, es decir para el primer corte, se desarrollar el software para la
aplicacin y se elegir el hardware con el que se trabajar y sobre el que se
implementar este software. La eleccin del software estar dada tanto por la
disponibilidad del mismo como por los costos y la disponibilidad del hardware que este
requiere para su programacin.

GRUPO: 8

13

GRUPO: 8

13

Marco metodolgico
Mtodos:
Mtodo cientfico.- Es un conjunto de procesos lgicamente sistematizados
que el investigador utiliza para descubrir y enriquecer la ciencia. Para poder
realizar nuestra monografa, seguimos un proceso organizado de pasos.
Mtodo inductivo.- Es un razonamiento que parte o va de lo particular a lo
general, es decir, analiza los elementos del todo para llegar a un concepto o
ley. Fue aplicada al desarrollar las causas que dan como resultado la
disminucin de incendios.
Mtodo Deductivo.- Es un razonamiento que va de lo general a lo particular,
es decir, analiza el concepto para llegar a los elementos de las partes del todo.
Nivel de Investigacin
Esta investigacin se ubicar en un nivel descriptivo, el cual consiste en la
caracterizacin de un hecho, fenmeno o grupo con el fin de establecer su
estructura o comportamiento.
Se establece que para dar respuestas a los diferentes objetivos de una
investigacin, sta debe estar enmarcada en los criterios que permitan definir
la manera de cmo se recolecta la informacin, lo cual sirve de base para la
delimitacin de la investigacin.
Diseo de Investigacin
El diseo de investigacin constituye el plan general del investigador para
obtener respuestas a sus interrogantes o comprobar la hiptesis de
investigacin, desglosa las estrategias bsicas que el investigador adopta para
generar informacin exacta e interpretable. Los mtodos utilizados en el diseo
fueron el anlisis, la sntesis de manera inductiva y deductiva. Esta
investigacin se enmarca en el diseo documental o bibliogrfico.
Es cuando recurrimos a la utilizacin de datos secundarios, es decir, aquellos
que han sido obtenidos por otros y nos llegan elaborados y procesados de
acuerdo con los fines de quienes inicialmente los elaboran y manejan y por lo
cual decimos que es un diseo bibliogrfico.
Por lo tanto este diseo centra su atencin en la utilizacin de una estrategia
basada en el anlisis de datos obtenidos de libros, informes de investigacin,
monografas, etc.

GRUPO: 8

13

Tcnica de Recoleccin
de los datos
Asimismo se us el fichaje, el cual es una tcnica manejada especialmente por
los investigadores. Sabino (1997) la define como sigue: "Es un modo de
recolectar y almacenar informacin, cada ficha contiene una serie de datos
extensin variable pero todos referidos a un mismo tema, lo cual le confiere
unidad y valor propio. (p. 12). El fichaje se realiza de manera eficiente, a la cual
se le incluye en su contenido descriptivo todos los datos de los libros que sean
necesarios para citarlo.

Tcnicas de procesamiento de los datos


La tcnica utilizada en el desarrollo de esta investigacin es el fichaje, la cual
es una tcnica manejada especialmente por los investigadores.

Anlisis e Interpretacin de los Datos


Para analizar estos datos, alusivos a esta investigacin se realizara a travs de
este procedimiento:
Fase I:
Una vez conocido el campo del estudio y definidos sus lmites de forma ms
aproximada, estaremos en condiciones de establecer el campo de bsqueda de
informacin bibliogrfica: de qu se partir, dnde se puede encontrar, con qu
instrumentos vamos a contar en primer lugar. Esta fase comenz por
establecer las bibliotecas, centros de documentacin y bases de datos en las
que comenzar la bsqueda especializada de carcter ms genrico y cules
sern los primeros instrumentos de bsqueda, revisando literatura sobre el
tema de acumuladores.
Fase II:
A partir de este momento, la bsqueda bibliogrfica se especializa y define: ya
no se trata tanto de encontrar documentacin exhaustiva sobre el particular,
sino de conseguir informacin pertinente sobre aspectos concretos del tema de
estudio, vacos que es necesario salvar o datos que apoyen la investigacin. En
esta fase a son vlidas todas las fuentes de informacin bibliogrfica y de
hecho se debern emplear todo tipo de instrumentos: identificacin de
documentos, Instrumentos para la localizacin de los documentos: guas,

GRUPO: 8

13
directorios y catlogos de bibliotecas y archivos, etc. e instrumentos de apoyo
a la investigacin: obras generales, diccionarios, enciclopedias, libros de estilo,
etc.
Fase III:
Se elaboraron las fichas de trabajo. La elaboracin de fichas es una tarea
imprescindible para el trabajo de investigacin, sea este del tipo que sea. Lo
que no puede determinarse porque en este aspecto intervienen el tipo de
trabajo desarrollado, la materia estudiada, la forma de plantearse las
estrategias de informacin e incluso el propio gusto personal es la forma y
estructura de las fichas ni el soporte utilizado.

Tipos de investigacin:
Investigacin aplicada:
Las investigaciones aplicadas son la respuesta efectiva y fundamentada a un
problema detectado, descrito analizado y analizado descrito. La investigacin
aplicada concentra su atencin en las posibilidades fcticas de llevar a la
prctica las teoras generales, y destina sus esfuerzos a resolver los problemas
y necesidades que se plantean los hombres en sociedad en un corto, mediano
o largo plazo. Es decir, se interesa fundamentalmente por la propuesta de
solucin en un contexto fsico-social especfico.

Procedimientos de la
investigacin y formulacin de
hiptesis
Las hiptesis, son justamente el objeto de la confirmacin o verificacin.
Intentar forzar la presencia de hiptesis cuando el conocimiento sobre un

GRUPO: 8

13
problema o la propia naturaleza de dicho problema no lo consienten es uno de
los errores ms frecuentes que se comenten en la prctica.
El autor de la tesis debe siempre recordar un bien conocido principio
demarcatorio de las hiptesis cientficas y es que stas deben ser "refutables"
o "falsificables". Esto significa que en el contexto de la investigacin debe ser
posible formular un enunciado emprico que conduzca al rechazo o refutacin
de la hiptesis.
La hiptesis es un mtodo fundamental en la investigacin terica y junto con
el problema asume una funcin orientadora en todo el proceso de
investigacin. Desde el punto de vista formal es una conjetura o suposicin que
se expresa a travs de un enunciado afirmativo que enlaza a dos o ms
variables.
Fundamentacin terica
Situacin actual o diagnstico del objeto investigado.
Determinacin de las tendencias territoriales, nacionales e internacionales.
Bases para la conformacin del Modelo Terico del objeto de la investigacin:
Antecedentes tericos.
Teoras existentes, sistematizacin.
Correspondencia con la hiptesis o preguntas cientficas.
Proceso investigativo desarrollado.
Resultados tericos de la revisin bibliogrfica y que sustentan
cientficamente la investigacin realizada.
Plantear los conceptos y definiciones claves del tema en cuestin
El autor debe tomar partido en correspondencia con su criterio. Debe adoptar
una posicin, explicar a cul teora se atiene o enunciar la suya propia.

Tcnicas e
instrumentos de
recoleccin de datos
GRUPO: 8

13

Mtodo de recoleccin de datos modular: telfono (CATI), Web (CAWI),


mviles, cara a cara (CAPI) o a travs de una respuesta de voz
interactiva (IVR).
El software de la encuesta permite una fcil gestin de proyectos.

Optimizacin de la seguridad de los datos mediante una gestin flexible


y sofisticados derechos de acceso.

Comunicacin efectiva con los administradores a travs de los informes


del portal.

El software de encuesta telefnica (CATI / IVR) incluye una serie de


caractersticas para maximizar la productividad de los investigadores.

Los datos se almacenan de forma centralizada y en tiempo real,


permitiendo una gestin eficiente de las encuestas.

El control de calidad consiste en funcionalidades de escucha, grabacin


y monitoreo del agente.

El discador predictivo de Voxco, Pronto, reconocido como lder en el


mercado, permite mejorar la productividad de las encuestas y de los
centros de llamadas.

GRUPO: 8

13

Bibliografa:
http://www.voxco.com/es/product/multichannel-data-collection/commandcenter.php?gclid=CNjq5dbYwrICFQgGnQodNyMAIQ
http://www.monografias.com/trabajos93/controlador-electronico-velocidad-pidusando-cpld/controlador-electronico-velocidad-pid-usando-cpld3.shtml
http://digitales.itam.mx/Cursos/nuevos_cursos/Circuitos
%20logicos/practicas/practicas%20nuevas/Practica%2006_%20VHDLn.pdf
http://catarina.udlap.mx/u_dl_a/tales/navegacion/titulo.html
http://es.scribd.com/doc/35078625/VHDL-Maxinez

GRUPO: 8

13

IMPACTOS DEL
PROYECTO
ACADMICO: con respecto a lo acadmico se dar un desarrollo tecnolgico el
cual los estudiantes podrn mejorar con el pasar de los tiempos dando as
mejor seguridad a las entidades financieras.
CIENTFICO: en lo cientfico tendr una evolucin a pasar del tiempo en lo que
corresponde a esta implementacin siendo cada vez ms revolucionaria para la
seguridad buscada.
TECNOLGICO: con el uso de varios equipos tecnolgicos de punta y ante las
amenazas emergentes cada vez ms desafiantes queda a manos de la
tecnologa la creacin y mejoras de equipos tecnolgicos para que luego sean
utilizados.

GRUPO: 8

También podría gustarte