Está en la página 1de 8

Enunciado: VHDL, define muchas cadenas de caracteres especiales,

denominados palabras reservadas o palabras clave. De la lista indique


cules son palabras reservadas en este lenguaje?:
1.
2.
3.
4.

MOD
NULL
OF
IF

Seleccione una respuesta.


a.

Si todas las opciones son correctas

b.

Si las opciones 1, 2 y 3 son correctas

c.

Si las opciones 1 y 3 son correctas

d.

Si las opciones 2 y 4 son correctas

Question2
Puntos: 1
Contexto: Este tipo de preguntas consta de dos proposiciones, as: una
Afirmacin y una Razn, Unidas por la palabra PORQUE. Usted debe
examinar la veracidad de cada proposicin y la relacin terica que las une.
Enunciado: En el lenguaje VHDL la entidad (ENTITY) corresponde a una
descripcin externa PORQUE la entidad relaciona los puertos de entrada y
salida del sistema.
Seleccione una respuesta.

a. Si la afirmacin y la razn son VERDADERAS y la


CORRECTA de la afirmacin.
b.

Si la afirmacin es FALSA, pero la razn es una p

c.

Si la afirmacin es VERDADERA, pero la razn es

d. Si la afirmacin y la razn son VERDADERAS, per


explicacin CORRECTA de la afirmacin.
Question3
Puntos: 1
La declaracin de la librera contiene una lista de todas las libreras que se
usarn en el diseo. Respecto al paquete ieee.std_logic_1164 se puede
afirmar:
Seleccione una respuesta.
a.

Es en donde se almacenan los diseos rea

b.

Especfica un sistema lgico multinivel

c.

Especfica recursos como tipos de datos

d.

Especfica recursos como textos de entrad

Question4
Puntos: 1
Los objetos en el lenguaje VHDL hacen referencia a las entidades o
elementos que contienen un valor predeterminado en ciertas propiedades y
que permiten el almacenamiento del mismo o sus transferencias a otras
entidades. De la lista, cul no es soportado por el lenguaje VHDL?
Seleccione una respuesta.

Question5

a.

Matrices

b.

Constantes

c.

Seales

d.

Variables

Puntos: 1
Contexto: Este tipo de preguntas consta de un enunciado, problema o
contexto a partir del cual se plantean cuatro opciones numeradas de 1 a 4,
usted deber seleccionar la combinacin de dos opciones que responda
adecuadamente a la pregunta.
Enunciado: En trminos del lenguaje VHDL, cmo se conoce todo el sistema
diseado y las entradas y salidas del mismo respectivamente:
1.
2.
3.
4.

Puertos (PORTS)
Seales (SIGNALS)
Entidad (ENTITY)
Instancias (INSTANCE)

Seleccione una respuesta.


a.

Si 1 y 3 son correctas.

b.

Si 2 y 4 son correctas.

c.

Si 1 y 2 son correctas.

d.

Si 3 y 4 son correctas.

Question6
Puntos: 1
Para representar los sistemas de numeracin hexadecimal, octal y binario
en el lenguaje VHDL se utilizan los caracteres:
Seleccione una respuesta.
a.

X, O y B

b.

X, H, y B

c.

H, O y B

d.

H, O y D

Question7
Puntos: 1
Contexto: Este tipo de pregunta se desarrolla en torno a un (1) enunciado
y cuatro (4) opciones de respuesta (1, 2, 3, 4). Solo dos (2) de estas
opciones responden correctamente a la pregunta.
Enunciado: Los objetos en el lenguaje de programacin VHDL, hacen
referencia a las entidades o elementos que contienen un valor determinado
de ciertas propiedades y permiten el almacenamiento del mismo. De los
enunciados cules no corresponden a los tipos de objetos en VHDL?
1.
2.
3.
4.

Package
Variable
Group
Constant

Seleccione una respuesta.


a.

Si 2 y 4 son correctas.

b.

Si 1 y 2 son correctas.

c.

Si 3 y 4 son correctas.

d.

Si 1 y 3 son correctas.

Question8
Puntos: 1
El tipo es el conjunto de valores que una seal puede tomar. Hay tipos
predefinidos y otros que el usuario puede definir. De la lista, cules son de
tipo predefinido?
Seleccione al menos una respuesta.
a.

bit

b.

boolean

c.

bit_vector

d.

real

Question9
Puntos: 1
Un sistema electrnico se puede representar en el lenguaje VHDL por
medio de:
Seleccione una respuesta.
a.

Constantes

b.

Puertos

c.

Seales

d.

Entidades

Question10
Puntos: 1
En VHDL el estndar que define las palabras reservadas de dicho cdigo,
es:
Seleccione una respuesta.
a.

IEEE 811

b.

IEEE 802

c.

IEEE 1160

d.

IEEE 1164

Question11
Puntos: 1
Contexto: Este tipo de preguntas consta de un enunciado, problema o
contexto a partir del cual se plantean cuatro opciones numeradas de 1 a 4,
usted deber seleccionar la combinacin de dos opciones que responda
adecuadamente a la pregunta.
Enunciado: De las palabras reservadas en VHDL podemos afirmar que:
1. Son instrucciones, elementos y rdenes que se utilizan para definir
sentencias
2. En la definicin de variables no se pueden usar palabras reservadas
3. Se pueden usar palabras reservadas en la definicin de variables
4. No estn definidas por ningn estndar
Seleccione una respuesta.
a.

Si 1 y 3 son correctas.

b.

Si 3 y 4 son correctas.

c.

Si 1 y 2 son correctas.

d.

Si 2 y 4 son correctas.

Question12
Puntos: 1
La seccin fundamental del cdigo VHDL, en donde se define cual es el
comportamiento del circuito es:
Seleccione una respuesta.
a.

Entidad

b.

Puertos

c.

Arquitectura

d.

Librera

Question13
Puntos: 1
Las seales son comnmente declaradas en la seccin de arquitectura,
pero su comportamiento est relacionado con el modo declarado para el
puerto que se relacione con la seal. Si el puerto es declarado como salida,
el comportamiento de la salida corresponde a:
Seleccione una respuesta.
a.

Se puede modificar, pero no se puede leer

b.

Se puede leer y slo se puede modificar la fuente co

c.

Se puede leer, pero no se puede modificar

d.

Se puede modificar y leer

Question14
Puntos: 1
Las secciones bsicas de un cdigo VHDL son:
Seleccione al menos una respuesta.
a.

Seales

b.

Arquitectura

c.

Entidad

d.

Librera

Question15
Puntos: 1
Respecto a la arquitectura de VHDL, es correcto afirmar que:
Seleccione al menos una respuesta.

Puntuacion 12.2/15

a.

Est asociada con una entidad

b.

Se asignan seales

c.

Una entidad puede tener mltiples arquitecturas

d.

Define el comportamiento de la entidad

También podría gustarte