Está en la página 1de 14

DISPOSITIVOS Y CIRCUITOS

ELECTRONICOS II
Laboratorio N 8
EDICIN GRFICA EN LGICA COMBINACIONAL
Y
SIMULACION USANDO VHDL

2
Lab. N8 Edicin Grafica en lgica combinacional + Simulacin VHDL

EDICIN GRFICA EN LGICA COMBINACIONAL Y SIMULACION


Objetivos
Poder desarrollar circuitos digitales usando la herramienta de captura esquemtica del software de
simulacin ISE Navigator con el ISIM en Lgica combinacional.
2. Poder simular circuitos digitales usando el software de simulacin del ISE Navigator
3. Analizar he interpretar los resultados de la simulacin.
1.

Introduccin Terica
Introduccin Terica
El proceso de diseo se llevar a cabo a travs de los pasos indicados en la figura 1, que se describen
Brevemente a continuacin.

Create Code/Schematic: El diseo debe ser introducido en la herramienta mediante la ubicacin y conexionado
de los diferentes componentes, disponibles en varias bibliotecas
Funcional Simulacin: Permite comprobar que la funcionalidad del esquema capturado es la esperada. Para ello
deben especificarse los valores que deben colocarse en las entradas del circuito, a continuacin debe simularse
2

3
Lab. N8 Edicin Grafica en lgica combinacional + Simulacin VHDL

el periodo de tiempo deseado, y finalmente debe comprobarse que los resultados en las salidas del circuito sean
correctos.

4
Lab. N8 Edicin Grafica en lgica combinacional + Simulacin VHDL

Preparacin
Para el desarrollo de esta experiencia el alumno debe tener claro los conceptos dados en la clase terica,
revisar sus apuntes y afianzar sus conocimientos con el texto base y la bibliografa del curso

Equipos y Materiales
1

PC con software de simulacin

Procedimiento
Para cada uno de los ejercicios realice lo siguientes:
1. Diagrama esquemtico
2. Compilacin
3. Cdigo VHDL para la simulacin
Para la simulacin hay que seguir los siguientes pasos:
Verificar que se ha seleccionado:

4.

Simulacin y Verificacin

5
Lab. N8 Edicin Grafica en lgica combinacional + Simulacin VHDL

Una vez hecho es esquema y compilado. Ir a Design y hacer click en simulacin:

Despus seleccionar:
Poner el Nombre
El programa crea un archivo que contiene las entradas y salidas del dispositivo.

6
Lab. N8 Edicin Grafica en lgica combinacional + Simulacin VHDL

Aqu insertamos los estmulos de simulacin

Una vez hecho los estmulos, guardar

Ir a , botn derecho y hacer click en process properties y hacer las siguientes selecciones.

Hacer OK y despus hacer doble click en.


El programa procesara los estmulos y abrir una nueva ventana con los resultados de la simulacin.

7
Lab. N8 Edicin Grafica en lgica combinacional + Simulacin VHDL

Se verifica que la simulacin corresponde a lo deseado.

8
Lab. N8 Edicin Grafica en lgica combinacional + Simulacin VHDL
I.

Usando solo compuertas disee un circuito combinacional que cumpla la siguiente tabla de verdad:
(Reduzca y considera necesario)
A2
0
0
0
0
1
1
1
1

A1
0
0
1
1
0
0
1
1

A0
0
1
0
1
0
1
0
1

F1
1
1
0
1
1
1
0
1

F2
0
1
1
1
0
0
1
1

Escribir expresin BOOLEANA simplificada:

Implementacion del Circuito :

Insertar la simulacin y explicar los resultados:

9
Lab. N8 Edicin Grafica en lgica combinacional + Simulacin VHDL
II.

Usando solo multiplexores disee un circuito que cumpla la siguiente funcin:


F(x,y,z)= (x.y.x + x.y + y. z.(x + y. z))
x

F(x,y,z)

Implementacin del Circuito:

Insertar la simulacin y explicar los resultados

Observaciones: ( explicar el porqu de la solucin escogida)

10
Lab. N8 Edicin Grafica en lgica combinacional + Simulacin VHDL
III.

Disee un sistema que reciba datos de cuatro bits en binario natural y entregue los mismos datos en
cdigo Octal.
Rellenar tabla de verdad
D3

D2

D1

D0

0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

Val
decimal
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15

O3

O2

O1

O0

Escribir las expresiones Booleanas de las salidas:

Implementacin del Circuito:

10

11
Lab. N8 Edicin Grafica en lgica combinacional + Simulacin VHDL

Insertar la simulacin y explicar los resultados

Conclusiones y observaciones

11

12
Lab. N8 Edicin Grafica en lgica combinacional + Simulacin VHDL

12

12

13
Lab. N8 Edicin Grafica en lgica combinacional + Simulacin VHDL

Informe
Modelo de Informe
Cartula
Deber contener lo siguiente:
1. Logo de la institucin.
2. Nombre del curso.
3. Nombre del laboratorio
4. Nombres de los integrantes
5. Seccin a la que pertenecen
6. Fecha de realizacin
7. Fecha de presentacin.
8. Nombre del Profesor
Fundamento Terico ( en caso de presentar documento redactado)
Deber ser conciso y redactado con lenguaje propio. Estar basado en las lecturas recomendadas o
cualquier otra informacin relacionada con el tema. No debe exceder de una pgina
Hoja de Resultados del Laboratorio
Para cada uno de los ejercicios realice lo siguientes:
1. Diagrama esquemtico
2. Compilacin
3. Edicin de formas de onda
4. Simulacin y Verificacin
Deber contener los resultados del procedimiento realizado as
cuestionamientos planteados y condiciones pedidas.
PRIMERA PARTE: Uso de compuertas.
SEGUNDA PARTE: Uso de Multiplexores.
TERCERA PARTE: Uso de bloques funcionales mltiples.
Nota: Utilice el software que requiere su informe

13

13

como las soluciones a los

14
Lab. N8 Edicin Grafica en lgica combinacional + Simulacin VHDL

Aplicacin de lo aprendido
I. Realice el diseo de un sistema mezclador de tal forma que se comporte de acuerdo a la grfica de la
manera siguiente:
El motor M gira durante el periodo en el cual
el SW est abierto.
b. El motor M gira cuando T marca lleno.
c. S1 se activa cuando SW est cerrado o
cuando T no marca lleno.
d. S2 se activa y S1 se desactiva cuando T se
activa.
Presente la solucin la semana siguiente adjuntando
evidencias de su desarrollo que sean originales y adjunte las
observaciones del laboratorio.
a.

Observaciones Generales
La presentacin del informe se realiza al ingresar al Laboratorio. En la clase siguiente a su
realizacin.
2. Se tomar en cuenta las reglas de ortografa en la redaccin del informe.
1.

14

14

También podría gustarte