Está en la página 1de 18

En la actualidad, los motores a paso son de gran utilidad

para el desarrollo de mecanismos de una alta precisin. Este tipo de motores poseen cualidades especiales, debido a que pueden moverse desde un paso hasta una secuencia de pasos, dependiendo de la cantidad de pulsos que se les aplique.

Bsicamente estos motores estn constituidos normalmente

por un rotor sobre el que se encuentran imanes permanaes y por un cierto numero de boninas excitadores en su estator. Las bobinas son parte del estator y el rotor es un imn permanente. La excitacin de las bobinas debe llevarse a cabo de manera externa mediante un controlador.

Existen dos tipos de motores a pasos de imn

permanente mas utilizados son los siguientes:


Los unipolares.
Los bipolares.

Descripcin: Son una serie de electroimanes que rodean una armadura, formada por un imn.

Suelen tener 6 o 5 cables de salida dependiendo de su

conexin interna. Son fciles de controlar. Tienen un terminal comn a ambas bobinas.

Estas secuencias siguen el orden indicado en cada tabla

para hacer que el motor gire en un sentido, si se desea que gire en sentido opuesto, slo se debe invertir dicha secuencia.
Secuencia de paso completo Secuencia de medio paso. Secuencia simple.

MOTOR BIPOLAR

Este tipo de motores tienen generalmente cuatro cables

de salida. Necesitan ciertas manipulaciones para ser controlados, debido a que requieren del cambio de direccin del flujo de corriente a travs de las bobinas en la secuencia apropiada para realizar un movimiento. Es necesario adems un puente H por cada bobina del motor.

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity controlador is por t (clk,x: in std_logic; -.Declaracin del reloj y la entrada de control X F: out std_logic_vector (0 to 3) ); --Declaracin de la salida del control attribute pin_numbers of controlador: entity is "clk:1 x:2 " & "F(0):14 F(1):15 F(2):16 F(3):17"; end controlador;

architecture Motor of controlador is signal A:std_logic_vector(0 to 1); --Declaracin de una

seal la cual fungir como estado de la mquina begin Inicio del proceso process ( clk,x ) begin if(clk event and clk='1')then Declaracin del flanco de subida del reloj if(x='0') then Condiciones de la mquina de estado. --Proceso de los estados siguiente y presente mostrando la salida correspondiente.

if(A="00" ) then F<="0110"; A<="11"; elsif(A="11" ) then F<="0101"; A<="10"; elsif(A="10" ) then F<="1001"; A<="01"; elsif(A="01" ) then F<="1010"; A<="00"; end if; elsif(x='1') then if(A="00" ) then F<="1010"; A<="01"; elsif(A="01" ) then F<="1001"; A<="10";

elsif(A="10" ) then F<="0101"; A<="11"; elsif(A="11" ) then F<="0110"; A<="00"; end if; end if; end if; end process; --Fin de proceso end Motor;

Contador equ 0x08 ORG 0x1000 Main: MOVLW 0X0F MOVWF ADCON1 MOVLW 0X00 MOVWF TRISA MOVLW 0X0A MOVWF PORTA CALL Bucle MOVLW 0X09 MOVWF PORTA

CALL Bucle MOVLW 0X05 MOVWF PORTA CALL Bucle MOVLW 0X06 MOVWF PORTA CALL BUCLE goto Main Bucle decfsz contador,1 goto bucle

También podría gustarte