Está en la página 1de 8

library ieee;

use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity Unidades is
port(
E: in std_logic_vector (7 downto 0);
U: out std_logic_vector (3 downto 0));
end;
architecture Uni of Unidades is
begin
with E select
U <= "0000" when "00000000",
"0001" when "00000001",
"0010" when "00000010",
"0011" when "00000011",
"0100" when "00000100",
"0101" when "00000101",
"0110" when "00000110",
"0111" when "00000111",
"1000" when "00001000",
"1001" when "00001001",
"0000" when "00001010",
"0001" when "00001011",
"0010" when "00001100",
"0011" when "00001101",
"0100" when "00001110",

"0101" when "00001111",


"0110" when "00010000",
"0111" when "00010001",
"1000" when "00010010",
"1001" when "00010011",
"0000" when "00010100",
"0001" when "00010101",
"0010" when "00010110",
"0011" when "00010111",
"0100" when "00011000",
"0101" when "00011001",
"0110" when "00011010",
"0111" when "00011011",
"1000" when "00011100",
"1001" when "00011101",
"0000" when "00011110",
"0001" when "00011111",
"0010" when "00100000",
"0011" when "00100001",
"0100" when "00100010",
"0101" when "00100011",
"0110" when "00100100",
"0111" when "00100101",
"1000" when "00100110",
"1001" when "00100111",
"0000" when "00101000",
"0001" when "00101001",
"0010" when "00101010",
"0011" when "00101011",
"0100" when "00101100",

"0101" when "00101101",


"0110" when "00101110",
"0111" when "00101111",
"1000" when "00110000",
"1001" when "00110001",
"0000" when "00110010",
"0001" when "00110011",
"0010" when "00110100",
"0011" when "00110101",
"0100" when "00110110",
"0101" when "00110111",
"0110" when "00111000",
"0111" when "00111001",
"1000" when "00111010",
"1001" when "00111011",
"0000" when "00111100",
"0001" when "00111101",
"0010" when "00111110",
"0011" when "00111111",
"0100" when "01000000",
"0101" when "01000001",
"0110" when "01000010",
"0111" when "01000011",
"1000" when "01000100",
"1001" when "01000101",
"0000" when "01000110",
"0001" when "01000111",
"0010" when "01001000",
"0011" when "01001001",
"0100" when "01001010",

"0101" when "01001011",


"0110" when "01001100",
"0111" when "01001101",
"1000" when "01001110",
"1001" when "01001111",
"0000" when "01010000",
"0001" when "01010001",
"0010" when "01010010",
"0011" when "01010011",
"0100" when "01010100",
"0101" when "01010101",
"0110" when "01010110",
"0111" when "01010111",
"1000" when "01011000",
"1001" when "01011001",
"0000" when "01011010",
"0001" when "01011011",
"0010" when "01011100",
"0011" when "01011101",
"0100" when "01011110",
"0101" when "01011111",
"0110" when "01100000",
"0111" when "01100001",
"1000" when "01100010",
"1001" when "01100011",
"0000" when others;
end Uni;

Y para las decenas utilizamos el siguiente cdigo:


library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity Decenas is
port(
E: in bit_vector (7 downto 0);
D: out bit_vector (3 downto 0));
end;
architecture Dec of Decenas is
begin
with E select
D <= "0000" when "00000000",
"0000" when "00000001",
"0000" when "00000010",
"0000" when "00000011",
"0000" when "00000100",
"0000" when "00000101",
"0000" when "00000110",
"0000" when "00000111",
"0000" when "00001000",
"0000" when "00001001",
"0001" when "00001010",
"0001" when "00001011",
"0001" when "00001100",

"0001" when "00001101",


"0001" when "00001110",
"0001" when "00001111",
"0001" when "00010000",
"0001" when "00010001",
"0001" when "00010010",
"0001" when "00010011",
"0010" when "00010100",
"0010" when "00010101",
"0010" when "00010110",
"0010" when "00010111",
"0010" when "00011000",
"0010" when "00011001",
"0010" when "00011010",
"0010" when "00011011",
"0010" when "00011100",
"0010" when "00011101",
"0011" when "00011110",
"0011" when "00011111",
"0011" when "00100000",
"0011" when "00100001",
"0011" when "00100010",
"0011" when "00100011",
"0011" when "00100100",
"0011" when "00100101",
"0011" when "00100110",
"0011" when "00100111",
"0100" when "00101000",
"0100" when "00101001",
"0100" when "00101010",

"0100" when "00101011",


"0100" when "00101100",
"0100" when "00101101",
"0100" when "00101110",
"0100" when "00101111",
"0100" when "00110000",
"0100" when "00110001",
"0101" when "00110010",
"0101" when "00110011",
"0101" when "00110100",
"0101" when "00110101",
"0101" when "00110110",
"0101" when "00110111",
"0101" when "00111000",
"0101" when "00111001",
"0101" when "00111010",
"0101" when "00111011",
"0110" when "00111100",
"0110" when "00111101",
"0110" when "00111110",
"0110" when "00111111",
"0110" when "01000000",
"0110" when "01000001",
"0110" when "01000010",
"0110" when "01000011",
"0110" when "01000100",
"0110" when "01000101",
"0111" when "01000110",
"0111" when "01000111",
"0111" when "01001000",

"0111" when "01001001",


"0111" when "01001010",
"0111" when "01001011",
"0111" when "01001100",
"0111" when "01001101",
"0111" when "01001110",
"0111" when "01001111",
"1000" when "01010000",
"1000" when "01010001",
"1000" when "01010010",
"1000" when "01010011",
"1000" when "01010100",
"1000" when "01010101",
"1000" when "01010110",
"1000" when "01010111",
"1000" when "01011000",
"1000" when "01011001",
"1001" when "01011010",
"1001" when "01011011",
"1001" when "01011100",
"1001" when "01011101",
"1001" when "01011110",
"1001" when "01011111",
"1001" when "01100000",
"1001" when "01100001",
"1001" when "01100010",
"1001" when "01100011",
"0000" when others;
end Dec;

También podría gustarte