Está en la página 1de 24

Circuitos Combinacionales I

Electrónica Digital

Ing. Mónica Patricia René_2010


Introducción
 Los sistemas digitales obtienen datos e información codificada en binario que se
utiliza para diferentes tipos de operaciones:

 Codificación y decodificación.
 Multiplexación y demultiplexación.
 Comparación.
 Operaciones aritméticas y lógicas.
 Conversión de código.
 Asignación del bus de datos.
 Otras, que no requieran de la memorización de los datos.

 Las operaciones anteriores se realizan con circuitos combinacionales.

Ing. Mónica Patricia René


Introducción
 En la lógica combinacional los circuitos utilizados, denominados de manera general
circuitos combinacionales, tienen la característica de que la salida depende
únicamente de la combinación de valores presentes en la entrada en dicho
instante.

 Los circuitos combinacionales pertenecen a la clase de CI (circuito integrado)


denominados MSI.

 El uso de estos CI MSI a facilitado la realización de las operaciones realizadas por


los sistemas digitales.

 La siguiente figura muestra un esquema de clasificación de CI´s.

Ing. Mónica Patricia René


Introducción

Ing. Mónica Patricia René


Comparadores
• Un comparador determina si dos números binarios son iguales o distintos.

• La compuerta OR-exclusiva (XOR) se puede emplear como un comparador básico, ya


que su salida
0
es 1 si dos bits de entrada son diferentes y es 0, si los dos bits son
0 1 1
iguales. 0
0

0 1 1 0
1
1

• Para comparar números binarios de dos bits, se necesita una puerta XOR adicional.
• Además para obtener un único resultado de salida que indique la igualdad o
desigualdad entre los dos números, se pueden utilizar dos inversores y una puerta
and, como se ve en el siguiente circuito.

Ing. Mónica Patricia René


Comparadores
Ver nota

A0
B0
• Formato general para los números binarios:
N0 binario A= A1 A0
A1
N0 binario B= B1 B0
B1

• La salida será alta cuando ambos números(A y B) sean iguales.

 Nota: esta es una compuerta de igualdad y su principal aplicación es la de generar


los niveles lógicos que pierden potencia debido a su uso en los circuitos lógicos. Si se
aplican varias compuertas igualdad, la información se mantiene en nivel y en lógica.
 El símbolo lógico resumido para una compuerta inversora seguida de una compuerta
igualdad es el siguiente:

Ing. Mónica Patricia René


Comparadores
• De manera más específica, un comparador es un circuito aritmético que determina la
magnitud relativa entre dos números binarios o palabras A y B en códigos binarios o BCD.

• Se toman tres decisiones acerca de las palabras A y B, y se manifiestan en las tres salidas a
saber:
 A=B
 A>B
 A<B

• Por ejemplo para determinar la desigualdad entre dos números de digamos 3 bits cada uno,
A=A2A1A0 y B=B2B1B0, en primer lugar se examina el bit de mayor peso de cada número. Las
posibles condiciones son:
1. Si A2 =1 y B2 =0→ A>B
2. Si A2 =0 y B2 =1→ B>A
3. Si A2 = B2 → tenemos que examinar los siguientes bits de orden inmediatamente inferior.

Ing. Mónica Patricia René


Comparadores
• Las tres opciones anteriores, son válidas para cada posición que ocupen los bits
dentro del número y además, la comparación siempre se inicia desde los bits mas
significativos.
A>B
• La siguiente figura muestra el diagrama lógico de un comparador simple de solo
dos bits. A
A=B

enable A<B

Ing. Mónica Patricia René


Comparadores
• Algunas formas comerciales de CI´s:
 74x85: comparador de 4 bits.
 4585:comparador de 4 bits.
 B3 1comparador de
74x682: 1612Vbits.
CC

A<BIN 2 15 A3
A=BIN 3 14 B2

4 13 A2
“este tipo de comparador posee además de sus salidas
A>BIN
74HC85 12 A1 A>Bout ,A<Bout , A=Bout , tres entradas similares
A>BOUT 5
para que se conecten en cascada y así poder ampliar
A=BOUT 6 11 B1
la cantidad de bits a comparar”.
A<BOUT 7 10 A0

GND 8 9 B0

• Por ejemplo si conectamos en cascada dos comparadores de 4 bits 74HC85, podemos obtener un
comparador para dos números binarios de 8 bits, es decir podemos comparar 16 bits en total

Ing. Mónica Patricia René


Comparadores
LSB´s MSB´s
• Comparador de 16 bits con dos comparadores de 8 bits (en total).
A0 A4
A1 A5
A2 A6
A3 A7

A>B A>B A>B A>B


+5V A=B A=B A=B salidas
A=B
A<B A<B A<B A<B
74HC85 74HC85
B0
B4
B1
B5
B2 B6
B3 B7

Ing. Mónica Patricia René


Comparadores
• En una computadora, la caché es una memoria intermedia muy rápida entre la
CPU y la memoria principal. La CPU solicita datos enviando la dirección en
memoria. Parte de esta dirección se denomina marcador.

• El comparador de marcadores de dirección, compara el marcador de la CPU con el


marcador del directorio de la cache. Sí ambas direcciones son iguales, significa que
los datos direccionados se encuentran ya en la caché y se recuperan de forma muy
rápida. Caso contrario, los datos deben recuperarse de la memoria principal a una
velocidad mucho más lenta.

Ing. Mónica Patricia René


Sumadores
• Semisumador: Es un circuito aritmético que admite dos dígitos binarios en sus
entradas y genera dos dígitos binarios en sus salidas:
A un bitB de suma y un bit ∑
COUT de
acarreo.
A
0 0 0 O
Bits de entrada
Bits de salida 0 1 0 1

B Cout 1 0 0 1

Símbolo lógico 1 1 1 0

• Observando la tabla de verdad del semisumador, se observa que la salida C out es 1 solo
cando A y B son ambas 1; por lo tanto podemos expresar a C out como una operación
AND de las variables de entrada.
Cout =A.B

Ing. Mónica Patricia René


Sumadores
• También de la tabla de verdad se observa que la salida correspondiente a la suma
(∑) es solo 1 cuando ambas variables A y B son distintas, por lo tanto la
operación suma puede expresarse como una or-exclusiva (XOR) de las
variables de entrada.
• ∑=A B=AB´+A´B

• A partir de todo lo anterior el diagrama lógico del semi-sumador resulta:

A Cout
B

Ing. Mónica Patricia René


Sumadores
• Sumador Completo: acepta dos bits de entrada y un acarreo de entrada y genera
una salida de suma y un acarreo de salida.
A B CIN COUT ∑
• La diferencia entre un semisumador
suma
es que el sumador completo acepta un
A 0 0 0 0 0
acarreo de entrada.
Bits de entrada
B 0 0 1 0 1

0 1 0 0 1
Acarreo de
Acarreo de
entrada Cin Cout salida 0 1 1 1 0

Símbolo lógico 1 0 0 0 1

1 0 1 1 0

1 1 0 1 0

1 1 1 1 1

Ing. Mónica Patricia René


Sumadores
• El sumador completo tiene que sumar dos bits de entrada y un acarreo de entrada.
• Del semi-sumador sabemos que la suma de los dos bits de entrada A y B es la
operación XOR de esas dos variables.
• Para sumar el acarreo de entrada Cin a los bits de entrada hay que aplicar de nuevo
la operación XOR.
• En la siguiente figura se muestra el circuito lógico del sumador completo utilizando
A
dos semi-sumadores. A B
  A  B  C IN
B
C IN
A  BC IN
C OUT  AB  A  BC IN

AB

Ing. Mónica Patricia René


Sumadores
  A B A  B  C IN
A A

B
A  BC IN
Cout Cout
AB  A  BC IN
C IN
AB

Ing. Mónica Patricia René


Sumadores
• Para sumar dos números binarios se necesita un sumador completo por cada bit
que tengan los números que se quieren sumar.
• Es decir, para números de dos bits se necesitan dos sumadores, para números de 4
bits se necesitan cuatro sumadores.
• ParaAlo2 Banterior,
2
la salida deAacarreo
1 B1 de cada sumador se conecta a la entrada de
acarreo del sumador de orden inmediatamente superior. A 2 A 1
A B C IN A B C IN  B2 B 1
3 2 1

Cout  Cout 

MSB 3 2 1 LSB

Ing. Mónica Patricia René


Sumadores
• En el diagrama anterior puede utilizarse un semi-sumador para la posición menos
significativa, o bien se puede colocar a tierra (0 lógico) la entrada de acarreo de un
sumador completo, ya que no existe entrada de acarreo en la posición del bit
menos significativo.
• También observe que el acarreo de salida del sumador completo de más a la
izquierda se convierte en el bit más significativo en la suma ∑3

• La configuración anterior puede ampliarse para implementar sumadores


completos
A 4 B4 de más bits.A 3 B3 A 2 B2 A 1 B1

• Un ejemplo
AB
lo muestraA elB CI 74LS283
C AB
que es un sumador
A B
completo
C
para
C IN IN C IN IN
dos números binarios de 4 bits c/u.

Cout  Cout  Cout  Cout 

MSB 3 2  1 LSB
4

Ing. Mónica Patricia René


(5)
Sumadores (16)

Vcc
(4)
1 1
(3) (1)
2
Número binario A (14)
2
(13) Suma de 4 bits
3 3
(12) (10)
4 4

(6)
1
(2)
Número binario B (15)
2
3 74LS283
(11)
4

(7) (9)
Acarreo de entrada Cin GND Cout
Acarreo de salida
(8)

• Si se conectan en cascada dos 74LS283 se puede obtener un sumador para dos


números de 8 bits.

Ing. Mónica Patricia René


Sumadores
• Los sumadores pueden clasificarse en dos categorías, sumadores de acarreo serie y
sumadores de acarreo anticipado, dependiendo de la forma en que se manejan los
acarreos internos de una etapa a la otra.

• Externamente ambos tipos son iguales en términos de entradas y salidas, la


diferencia se encuentra en la velocidad a la que efectúan la suma de los números.

• Debido a que los sumadores están formados por compuertas lógicas existe un
retardo de propagación o delay especificado, tp , desde una entrada a la salida.

• Los sumadores de acarreo anticipado son más rápidos que los sumadores de
acarreo serie, debido a que como su nombre lo indica este tipo de sumador
anticipa el acarreo de salida de cada etapa.

Ing. Mónica Patricia René


Restadores
• La forma más simple de efectuar la resta entre números binarios sin signo es utilizando
complementos.

• Recordemos que la resta A-B se efectúa obteniendo el complemento a 2 de B y luego


efectuando la suma con A.

• Además recordemos que el complemento a 2 de B se puede obtener mediante el


complemento a 1 (que se implementa con inversores) y sumándole uno al resultado
anterior.

• También debemos de considerar si en estas operaciones se produce una condición de


desbordamiento.

• Es posible detectar la condición de desbordamiento observando el acarreo que llega a la


posición del bit más significativo y el acarreo que sale de dicha posición para el caso de
que los números sean representado en formato binario con signo.

Ing. Mónica Patricia René


Restadores
• Para ejemplificar0 lo1 anterior supongamos que queremos sumar dos números
0 1 70+80=150
binarios con signo, como 0 0 0 1 1 0
 0 1 0 1 0 0 0 0
1 0 0 1 0 1 1 0

• Como dijimos observando el acarreo que llega al MSB (en este ejemplo llega un 1) y
el que sale del MSB (en este ejemplo sale un 0), se detecta que existe una condición
de desbordamiento.

• Si estos dos desbordamientos se aplican a una compuerta XOR, se detectará un


desbordamiento cuando la salida de dicha compuerta sea 1.
• Para el caso en el que los 1números
0
estén representados en formato binario sin
signo, el desbordamiento 1se1 detecta en el acarreo final de la posición más
significativa. 1 0 1

Ing. Mónica Patricia René


Restadores
B4 A4 B A3 B A B1 A1
• 3
El siguiente circuito muestra un sumador-restador de2 4 bits
2
utilizando sumadores completos
M
(SC).

C C4 C3 C2 C1 C0
SC SC SC SC

O
4 3 2 1

• La línea de entrada M controla la operación del circuito, si M=0 el circuito opera como un
sumador ya que B 0=B; si M=1 el circuito opera como un restador ya que B 1=B´ y además
C0 =1.
• En el caso del restador estaríamos sacando el complemento a 2 de B.

Ing. Mónica Patricia René


Restadores
• Si los dos números binarios que se suman están en formato sin signo, el bit de
salida marcado como C detectará un acarreo lo cual indicará desbordamiento.

• Si los dos números binarios que se suman están en formato con signo el bit de
salida marcado con O detectará si existe o no desbordamiento ya que si O=0 no
existirá desbordamiento, y si O=1 indicará desbordamiento.

Ing. Mónica Patricia René

También podría gustarte