Está en la página 1de 21

2011

Sistemas Digitales

Juan Castillo Universidad Alejandro De Humboldt 02/11/2011

ndice

Introduccin 3 Comparadores.4 Codificadores..6 Decodificadores..8 Multiplexores ..11 Des Multiplexores .16 Conclusin ..21

Introduccin Los componentes a desarrollar durante el presente trabajo investigativo, corresponden a una serie de dispositivos que harn posible que la introduccin entre la interaccin entre el raciocinio del hombre y la capacidad de procesamiento de un gran nmero de maquinas que poseen en un alto nivel de computo

En tal sentido se abordaran aspectos descriptivos y diferenciativos entre dispositivos que de acuerdo a su funcionamiento guardar similitud, como en el caso de los codificadores, decodificadores, multiplexores, Des multiplexores, haciendo mayor hincapi en aquellos que son de uso comn en la cotidianidad del hombre

COMPARADORES Los comparadores son circuitos combi nacionales capaces de comparar dos combinaciones presentes en sus entradas indicando si son iguales o diferentes; en caso de ser diferentes, indican cul de las dos es mayor. Tienen tres salidas que indican el resultado de la comparacin: A=B, A<B y A>B. El procedimiento para comparar dos datos binarios consiste primero en comparar el bit ms significativo de cada uno de ellos, si stos son iguales, se compara el siguiente bit ms significativo y as sucesivamente hasta encontrar una desigualdad que indica cul de los datos es mayor o menor. Si se comparan todos los bits de ambos datos y no hay desigualdad entre ellos, entonces evidentemente son iguales. Los circuitos integrados ms utilizados son: CIRCUITO INTEGRADO TTL 7485 COMPARADOR DE 4 BITS. Este circuito integrado contiene un comparador de dos datos de 4 bits cada uno. La relacin de pines de este integrado es la siguiente: A>B, A<B, y A=B: entradas de comparacin en cascada activas a nivel alto (1) A>B, A<B, y A=B: salidas de comparacin activas a nivel alto (1). A0, A1, A2, A3: entradas del dato A. B0, B1, B2, B3: entradas del dato B. La tabla de verdad y el montaje del comparador es la siguiente:

El esquema de este componente en Microsim DesignLab es el siguiente:

Codificadores Un decodificador acepta un cdigo de entrada de N bits y produce un 1 o 0 en una y slo una lnea de salida. En otras palabras, se puede decir que un decodificador identifica, reconoce o detecta un cdigo particular. El opuesto de este proceso de decodificacin es llamado CODIFICACIN y es ejecutado por un circuito lgico llamado CODIFICADOR Un codificador tiene un nmero de lneas de entrada, de las cuales slo una es activada en un tiempo dado y produce un cdigo de salida de N bits, dependiendo de cul entrada es activada. La Figura 6, muestra el diagrama general de un codificador con M entradas y N salidas. Todas las entradas y salidas estn en 1 cuando estn activadas (Note la ausencia de crculos en el diagrama). Se vio que un decodificador binario a octal acepta un cdigo binario de entrada de 3 bits y activa una de las 8 lneas de salida. Un codificador octal a binario opera de la manera opuesta. Acepta ocho lneas de entrada y produce un cdigo de 3 bits a la salida. Su logigrama se muestra en la Figura 7, tomando como base la siguiente tabla funcional:

ENTRADA

CDIGO BINARIO 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 6

A0 A1 A2 A3 A4 A5 A6 A7 O2 O1 O0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0

Donde las funciones de conmutacin son:

O2(A0,A1,A2,A3,A4,A5,A6,A7) = A4+A5+A6+A7 O1(A0,A1,A2,A3,A4,A5,A6,A7) = A2+A3+A6+A7 O0(A0,A1,A2,A3,A4,A5,A6,A7) = A1+A3+A5+A7


Se supone que slo una de las entradas es 1 cada vez, as que slo hay 8 condiciones posibles de entrada. El circuito est diseado de tal manera que cuando A0 es 1, se genera a la salida el cdigo binario 000; cuando A1 es 1, se genera el cdigo binario 001, cuando A2 es 1, se genera el cdigo binario 010 y as sucesivamente. El diseo del circuito es muy simple, puesto que involucra analizar cada bit de salida y determinar para cules casos de entrada ese bit es 1 y luego pasar los resultados por una compuerta O. Por ejemplo, la tabla funcional muestra que O0 (bit menos significativo del cdigo de salida) debe ser 1 cuando cualesquiera de las entradas A1, A3, A5 o A7 sean 1. EJEMPLO Describir la estructura y operacin de un codificador decimal a BCD con salidas activas 0. Este codificador toma 10 lneas de entrada, una sola de las cuales estar en 1 y produce un cdigo de salida de 4 bits BCD. Puesto que hay 4 salidas, el circuito contiene cuatro compuertas. Las compuertas usadas son NO-O, porque han de ser normalmente 1 e ir a 0, cuando una de las entradas se hace 1. La Figura 8, muestra el diagrama de este codificador. La siguiente tabla funcional muestra las entradas y salidas del codificador.
ENTRADAS CDIGO BCD

A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 O3 O2 O1 O0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 1 0 1 0 1 0 1 0 1 0

Decodificadores Decodificadores Un decodificador es un circuito combinacional que convierte informacin binaria de n bits (entradas) en un mximo de 2n salidas nicas. Si la informacin codificada de n bits tiene combinaciones no utilizadas, por ejemplo en un decodificador BCD, entonces el decodificador puede tener menos de 2n salidas. La figura 1 muestra el circuito esquematico basico de un decodificador de 2 entradas y cuatro salidas. Adicionalmente, la figura 1 muestra en forma de un diagrama de tiempos o cronograma las salidas D0, D1, D2 y D3, en funcion de las entradas S1 y S0.

Tanto del circuito como del cronograma podemos deducir que cada una de las salidas de las compuertas AND corresponde a cada uno de los minterms posibles para funciones de dos variables, en este caso representadas por las entradas S1 y S0. Tenemos entonces que

Podemos ver que sumando los minterms correspondientes a una funcion de dos variables, utilizando una compuerta OR, podemos implementar cualquier funcion, siempre que esta sea de dos variables.

No todos los decodificadores son tan simples como el que se muestra en la figura 1. En general, estos tienen una entrada que permite la habilitacin de las salidas. Esta entrada recibe el nombre de enable que significa habilitar. Por convencin la entrada de enable es casi siempre negada, es decir las salidas estan habilitadas siempre que la entrada enable sea 0. La figura 2 muestra un decodificador de dos a cuatro lineas con entrada de habilitacin E1. Notese que las salidas ahora provienen de compuertas NAND, por lo que estas estan complementadas, es decir, son cero cuando la combinacion de entrada les corresponde. Esto se aprecia claramente en el diagrama de tiempos de la figura 2. Figura 2: Diagrama esquematico y cronograma correspondiente a un decodificador de dos a cuatro lineas con entrada de habilitacion y salidas complementadas. La figura 7 presenta el diagrama esquematico y el cronograma correspondiente a un decodificador de 3 lineas a 8 lineas sin entrada de habilitacion de salidas. Al igual que antes, cada salida representa un minterm, en este caso, cada uno de los minterms posibles para funciones de tres variables, esto es

Figura 3: Diagrama esquemtico y cronograma correspondiente a un decodificador de tres a ocho lneas con entrada de habilitacn y salidas complementadas.

Figura 4: Implementacin de un decodificador de 3 a 8 lneas utilizando dos decodificadores de 2 a 4 lneas con entrada de habilitacin.

Figura 5: Implementacin de un Full Adder utilizando un decodificador de 3 a 8 lneas.

10

Multiplexores

Los multiplexores son circuitos combinacionales con varias entradas y una salida de datos, y estn dotados de entradas de control capaces de seleccionar una, y slo una, de las entradas de datos para permitir su transmisin desde la entrada seleccionada a la salida que es nica. La entrada seleccionada viene determinada por la combinacin de ceros (0) y unos (1) lgicos en las entradas de control. La cantidad que necesitaremos ser igual a la potencia de 2 que resulte de analizar el nmero de entradas. As, por ejemplo, a un multiplexor de 8 entradas le correspondern 3 de control. Podemos decir que la funcin de un multiplexor consiste en seleccionar una de entre un nmero de lneas de entrada y transmitir el dato de un canal de informacin nico. Por lo tanto, es equivalente a un conmutador de varias entradas y una salida.

Dentro de un multiplexor hay que destacar tres tipos de seales: los datos de entrada, las entradas de control y la salida

El diseo de un multiplexor se realiza de la misma manera que cualquier sistema combinatorio desarrollado hasta ahora. Veamos, como ejemplo, el caso de un multiplexor de cuatro entradas y una salida que tendr, segn lo dicho anteriormente, dos entradas de control. Esta tabla de verdad define claramente cmo, dependiendo de la combinacin de las entradas de control, a la salida se transmite una u otra entrada de las cuatro posibles. As:
NTROL AB 00 00 01 01 10 10 11 11 ENTRADAS DATOS I0 I1 I2 I3 0XXX 1XXX X0XX X1XX XX1X XXX0 XXX0 XXX1 SALIDA S 0 1 0 1 1 0 0 1

11

Si deducimos de esta tabla de verdad la expresin booleana que nos dar la funcin salida, tendremos la siguiente ecuacin: S = (/A*/B*I0) + (/A*B*I1) + (A*/B*I2) + (A*B*I3) Con la que podremos disear nuestro circuito lgico. La estructura de los multiplexores es siempre muy parecida a esta que hemos descrito, aunque a veces se aade otra entrada suplementaria de validacin o habilitacin, denominada strobe o enable que, aplicada a las puertas AND, produce la presentacin de la salida.

Tipos de multiplexores Dentro de la gran variedad de multiplexores que existen en el mercado, hay varios tipos que conviene destacar a causa de su gran utilidad en circuitos digitales, stos son: Multiplexor de 8 entradas. Multiplexor de 16 entradas. Doble multiplexor de 4 entradas. Dentro del primer tipo podemos hacer la distincin entre tener la entrada de strobe o no. La tecnologa utilizada para su diseo es TTL, de alta integracin, y la potencia que disipan suele ser de unos 150 mW. El tiempo de retardo tpico es de unos 25 nanosegundos y tienen un "fan - out" de 10. Normalmente, estos circuitos suelen darnos dos tipos de salida: una afirmada y la otra negada. En cuanto al segundo tipo de multiplexores, sealaremos que se diferencian de los primeros en el nmero de entradas, que es el doble, y que no existe la posibilidad de tener dos salidas, sino que slo podemos optar por la negada y, en consecuencia, a la salida nicamente se tendrn los datos de la entrada complementados. La potencia de disipacin para estos multiplexores viene a

12

ser de aproximadamente unos 200 mW. El tiempo de retardo y el "fan - out" son ms o menos iguales que en el caso del multiplexor de 8 entradas.

Diagrama bsico de un multiplexor de 16 entradas y 2 seales de control En la ilustracin correspondiente podemos ver un multiplexor de 16 entradas, donde, si hacemos 0 el strobe, en la salida se obtiene el dato negado de la entrada seleccionada mediante las cuatro entradas de control. En el ltimo de los tipos, dentro del mismo encapsulado del circuito integrado, tenemos dos multiplexores de cuatro entradas de datos: dos de control y una seal de strobe cada uno.

Doble multiplexor de cuatro entradas donde las seales de control son comunes Las entradas de control son comunes para ambos multiplexores, como podemos ver en el circuito de la figura. Al igual que los anteriores, se suelen realizar con tecnologa TTL de alta integracin, y tienen una disipacin media de unos 180 mW.

13

Con estos tres tipos de multiplexores trabajaremos habitualmente, incluso en el caso de tener que emplear algn otro de orden superior, es decir, con mayor nmero de entradas. Para ello, necesitaremos utilizar ms de un multiplexor de los descritos anteriormente.

Multiplexor de 32 entradas construido a partir de cuatro multiplexores de 8 entradas y uno de 4 entradas La forma de conectarlos entre s depende de la aplicacin concreta de que se trate, pero siempre habr que disponer de ms de una etapa de multiplexores, lo cual acarrea un tiempo de retardo. As, por ejemplo, para seleccionar un dato de entre las 32 entradas de que disponemos, deberemos disear un sistema anlogo al representado en la figura correspondiente. El primer multiplexor de 8 entradas sita secuencialmente los datos de entrada I0 a I7 en la lnea de salida de ste, a medida que el cdigo de las seales de control va variando. Anlogamente, el segundo multiplexor, tambin de 8 entradas, transmitir los datos I8 a I15 a su lnea de salida, dependiendo de las seales de control.

Diagrama de conexin de un circuito integrado que contiene un multiplexor de 8 entradas y seal de <<strobe>>

14

Estas entradas de control estn unidas entre s de manera que cuando, por ejemplo, aparece en la lnea de salida del primer multiplexor I1, en la salida del segundo estar I9, en la del tercero I17 y en la del ltimo I25. Si queremos sacar a la salida del conjunto de multiplexores cualquiera de las lneas de salida anteriormente citadas, necesitaremos utilizar un multiplexor de 4 entradas y, con sus seales de control, activaremos la entrada que nosotros deseemos. As, por ejemplo, para tener en la salida final la lnea de entrada I1, habra que poner en el ltimo multiplexor de 4 entradas la combinacin 00 en sus seales de control. Por ltimo, destacaremos que los multiplexores, adems de seleccionar datos, tienen otras aplicaciones importantes, a saber: - La conversin paralelo - serie. Como puede ser conducir la salida en paralelo de un ordenador hacia un terminal remoto a travs de una lnea de transmisin serie. - La generacin de funciones para lgica combinatoria.

15

Demultiplexores Una de las aplicaciones ms caractersticas de los decodificadores era su transformacin en los circuitos digitales denominados demultiplexores.

Un demultiplexor consta de una entrada de datos, varias seales de control y las lneas de salida. El demultiplexor es un circuito destinado a transmitir una seal binaria a una determinada lnea, elegida mediante un seleccionador, de entre diversas lneas existentes. El dispositivo mecnico equivalente a un demultiplexor ser un conmutador rotativo unipolar, de tantas posiciones como lneas queramos seleccionar. El seleccionador determina el ngulo de giro del brazo del conmutador.

16

La analoga mecnica de un demultiplexor es un selector con una entrada y varias posiciones de salida.

Un decodificador se convierte en un demultiplexor aadindole una seal ms a su circuitera interna. Si se aplica esta seal, la salida ser el complemento de dicha seal, ya que la salida es 0 si todas las entradas son 1, y aparecer nicamente en la lnea seleccionada. Un decodificador se convierte en un demultiplexor aadindole una seal ms a su circuitera interna. Si se aplica esta seal, la salida ser el complemento de dicha seal, ya que la salida es 0 si todas las entradas son 1, y aparecer nicamente en la lnea seleccionada. Se puede aplicar a un demultiplexor una seal de habilitacin o "enable", conectndose en cascada el decodificador con el circuito compuesto de una puerta AND y dos puertas NOT cuyas entradas son la seal de habilitacin y el datoque queremos transmitir. Si la entrada de habilitacin es 0, la salida ser el complemento del dato, es decir, que el dato aparecer en la lnea con el cdigo deseado. Si la entrada de "enable" es 1, la salida ser 0, se inhiben los datos en cualquier lnea y todas las entradas permanecen en 1. Veamos, de otra manera, en qu consiste la funcin de un circuito demultiplexor. Estos son circuitos que realizan una funcin contraria a lade los multiplexores, es decir, tienen una nica entrada de datos que, mediante unas entradas de control, se pone en comunicacin con una de entre varias salidas de datos. La salida concreta seleccionada depende de la combinacin de valores lgicos presentada en las entradas de control. De la definicin se desprende que cualquier decodificador que excite slo una salida entre varias, y est provisto de entrada de inhibicin o "enable", puede utilizarse como demultiplexor, ya que las entradas del cdigo se pueden empleart como entradas de control y la seal de inhibicin como entrada de datos. Por el contrario, los decodificadores de tipo BDC a 7 segmentos que dan varias de sus salidas para cada combinacin de entrada, no pueden ser utilizados como demultiplexores. En la prctica, no existen circuitos integrados demultiplexores, sino que se fabrican circuitos decodificadores/demultiplexores, que en realidad son decodificadores con entrada de inhibicin ("enable" o "strobe"). En la figura se

17

muestra la construccin mediante decodificador/demultiplexor de 2 a 4 lneas.

puertas

lgicas

de

un

A continuacin, veremos el funcionamiento de un decodificador como demultiplexor. Suponemos que se ha representado una combinacin de entradas, como por ejemplo 101, es decir, A /BC, y con ellas se selecciona la salida nmero 5, es decir, que la salida sigue a la entrada de datos y sta es, precisamente, la funcin del demultiplexor. Dentro de los demultiplexores existen varios tipos caractersticos y utilizados dentro de nuestro PC. Describamos alguno de ellos. Si un valor correspondiente a un nmero decimal que exceda de nueve se aplica a las entradas de un demultiplexor, la orden queda rechazada, por lo tanto, las diez salidas quedaran a 1. Si se desea seleccionar una de 16 lneas de salida, el sistema se ampliar aadiendo seis puertas NAND ms y se emplearn los 16 cdigos posibles con cuatro bit binarios. El demultiplexor de 4 a 16 lneas tiene 4 lneas de seleccin, 16 de salida, una entrada de "enable", una entrada de datos, una toma de tierra y otra para la alimentacin, de modo que en total se precisa un encapsulado de 24 patillas. Tambien existen demultiplexores de 2 a 4 y 3 a 8 lneas encapsulados e integrados individuales. Un demultiplexor de 1 a 2 lneas se forma con dos puertas NAND de otras tantas entradas. La lnea de salida 0 proviene de la NAND, cuyas entradas son la de datos y la lnea A; mientras que la salida 1 est conectada a la NAND, cuyas entradas son la de datos y la seal A. esta ltima entrada se denomina de control, ya que si A es 0, en la lnea 0 aparecer el complemento del dato.

18

DEMULTIPLEXORES DE GRAN NMERO DE LNEAS.

Si el nmero de salidas excede de 16 se emplean demultiplexores de 16,8, 4 2 lneas, dispuestas formando una cascada para conseguir el nmero de salidas deseado. Para construir un demultiplexor superior a 16 lneas, es necesario combinar los distintos tipos de multiplexor de 2,4,8 16 lneas. Este es el caso del multiplexor de 32 lneas. Por ejemplo, para un demultiplexor de 32 lneas podemos emplear una de 4 lneas del que se ramifican cuatro demultiplexores de 8 lneas, como se indica en la figura correspondiente. Observemos que el nmero total de salidas es el producto del nmero de lneas de los cuatro multiplexores por el nmero de ellos, es decir, 4*8=32. Las lneas 0 a 7 se decodifican en el primer demultiplexor, mientras que el segundo decodifica las ocho siguientes, y as sucesivamente. Para el valor de las seales de control del demultiplexor de cuatro lneas igual a 01, las lneas 8 a 15 se decodifican secuencialmente a medida que las seales de control A B C pasan desde 0 0 0 hasta 1 1 1. Por ejemplo, la lnea 12 se decodificar con la seleccin de todas las seales de control de los demultiplexores de cuatro y ocho lneas, con el siguiente resultado 0 1 1 0 0, que no es ms que la representacin binaria del nmero decimal 12.

Puesto que en un encapsulado hay dos demultiplexores de 2 a 4 lneas, para el sistema representado se necesitar el equivalente a 4, 5 19

encapsulados. Este mismo sistema se puede lograr con un demultiplexor de 8 lneas y 8 de 4 lneas o con uno de 2 lneas y dos de 16 lneas. El diseo ms apropiado viene determinado por el coste total. APLICACIONES DE LOS DEMULTIPLEXORES. Una de las aplicaciones es la transferencia de datos desde un registro.

Segn el valor de la seal de control, se selecciona qu entrada pasa a la salida del multiplexor. Cuando se aplique el pulso de transferencia al registro, dicha seal de salida pasa al registro.

20

Conclusin

El desarrollo constante de mecanismos que contribuyen en la composicin e interaccin hombre mquina en el da a da, ha permitido la automatizacin de procesos, disminuyendo as la posibilidad de errores inherentes a la participacin del hombre en forma indirecta en esos procesos Por ello, vimos pues como dispositivos tales como decodificadores y codificador, permiten al hombre Hablar en un lenguaje comprensible por los equipos (Binario) sin necesidad de salir de los patrones sociales y educativos adquirimos destrezas y formacin as como tambin encontramos circuitos integrados que ofrecen la posibilidad de utilizar de los canales de comunicacin ms ptimos disponibles, gracias a los dispositivos comentados a lo largo del trabajo antes mencionado que pueden modificar el flujo de datos dependiendo de las combinaciones entre los mismos

21

También podría gustarte