Está en la página 1de 51

1

:
✓ Comprender el uso de modularidad en el diseño
combinatorial.

✓ Realizar ejercicios de diseño modular usando


circuitos integrados MSI.

✓ Conocer otros circuitos MSI aplicables al diseño


modular.
2
EJEMPLO # 1:
Diseñe un circuito digital convertidor de códigos que recibe como entrada un número
binario A de 4 bits (A3 A2 A1 A0, siendo A3 el más significativo) y entrega como
resultado de la conversión un número R de 4 bits (R3 R2 R1 R0, siendo R3 el más
significativo).
•Si la entrada “Tipo código” es verdadera (Tipo código.H = H), el circuito hace la
conversión a un código de distancia unitaria; caso contrario, se hace la conversión a un
código BCD.
•Si la conversión es a distancia unitaria, el código elegido es siempre GRAY.
•Si la conversión es a BCD, el código elegido depende de la señal “Tipo BCD”.
•Si tipo BCD es verdadera ( Tipo BCD.H = H) la conversión es a NBCD; caso contrario la
conversión es a XS3.
•Si el número ingresado es mayor o igual a 10 todas las salidas deben fijarse a voltaje
bajo (L).
Para la implementación utilice Sumadores, Comparadores, Multiplexores,
Decodificadores y puertas lógicas adicionales. No debe utilizar tablas de verdad.
3
EJEMPLO # 1:

Para visualizar una posible solución, es recomendable elaborar


un diagrama de bloques del sistema digital, dividiéndolo en
bloques (módulos) dedicados a tareas específicas. En esta
instancia, no es necesario detallar el contenido de cada bloque.

4
Los primeros bloques convierten el número binario a NBCD, GRAY y XS3,
respectivamente.

El bloque selector selecciona cuál de las tres conversiones se debe mostrar en


la salida, dependiendo de las señales TIPO_BCD y TIPO_CODIGO.

El bloque validador verifica si el número original es menor a 10 en base


decimal, lo que se muestra en la salida; caso contrario la salida será “0000”.
5
Cuando el número “A” es menor a 10, la conversión de binario a NBCD es
simplemente el equivalente en binario; y la conversión de binario a XS3 se hace
sumando tres a dicho número.

6
La conversión de binario a GRAY se
hace agregando un “0” a la izquierda del
MSB y, a partir de éste, se realiza la
operación EXOR entre bits
adyacentes.

Un bloque selector se conforma con MULTIPLEXORES, “M” MUX de tamaño


“N” a 1; M es la cantidad de MUX requeridos que depende del número de bits
del DATO a procesar. Cada MUX procesa solo un bit de salida,

•N es el número de opciones de selección y depende de cuántas entradas se


debe escoger a la salida; los MUXs se escogen del estándar 2,4,8, o 16 a 1.

7
Como el dato es de 4 bits,
(M=4). Las opciones
de selección son 3 (se escoge N=4
por ser el estándar disponible).
El bloque se forma con
4 MUX 4 a 1.
Para escoger el número de
la entrada para cada opción,
es necesario hacer la tabla
a continuación:

8
Las puertas AND se
se usan como
elemento validador.

Así, si la entrada
validadora es “1”, la señal
pasa, caso contrario la
salida es “0”.

La señal
validadora proviene del
comparador que determina
si el número original es
menor que 10 o no.

9
El bloque Selector se fusiona con el bloque Validador, haciendo que la
salida del comparador sea la que sirva de habilitadora de los MUXs. El
inversor es necesario porque las señales habilitadoras son de lógica
negativa.

10
EJEMPLO # 2:
Diseñar un circuito combinatorial para controlar el enfriamiento de un tanque
de 20 mt. de alto, lleno de líquido. Las señales que recibe el circuito son la
siguientes :
TA : Temperatura de la zona alta del tanque, en formato de 4 bits binarios con
valores de 0 (0000) a 11 (1011) grados centígrados.
TB : Temperatura de la zona baja del tanque, en formato de 4 bits binarios con
valores de 0 (0000) a 11 (1011) grados centígrados.
CA : Valor binario de 3 bits, de 0 (000) a 4 (100) grados centígrados, que se
utiliza para compensar la temperatura en la zona alta.
CB : Valor binario de 3 bits, de 0 (000) a 4 (100) grados centígrados, que se
utiliza para compensar la temperatura en la zona baja.
Función: Señal del selector que indica si el tanque está almacenando o
enfriando.
Encendido: Señal del selector que indica si el sistema está prendido o apagado.

11
Las señales que entrega el circuito son las siguientes :
AR1: Si está activada, ingresa refrigerante al área 1 del tanque (alta).
AR2: Si está activada, ingresa refrigerante al área 2 del tanque (media).
AR3 : Si está activada, ingresa refrigerante al área 3 del tanque (baja)
S : Al activarse indica la evaporación del refrigerante en las tres áreas.

El circuito funciona de la siguiente manera :

La temperatura real de cada zona es igual a la temperatura medida más el


valor de compensación respectivo. Si el tanque está en la función
almacenamiento, el Set point (punto de referencia) del sistema es 12 grados
centígrados; si está en la función enfriar, el Set point (punto de referencia) es 4
grados centígrados.

12
El área 1 (AR1) se activa si el valor real de la zona alta es mayor al Set point del sistema.
El área 2 (AR2) se activa si el valor real de la zona alta es mayor al Set point del sistema
y el valor real de la zona baja es menor al Set point. El área 3 (AR3) se activa si el valor
real de la zona baja es mayor al Set point del sistema.
La señal S se activa si cualquiera de las tres áreas está activada.
Para que se active cualquier salida del circuito, el selector de encendido debe estar en
ON.
Para la implementación, utilice sumadores, comparadores, MUXs, y puertas adicionales
que sean necesarios.

13
T_Ref[3.0] [3.0]

El bloque corrector de temperatura agrega las correcciones (CA y CB) a los


valores medidos en las zonas Alta y Baja (A y B), respectivamente.

El bloque activador de zonas compara los valores reales con el set point
seleccionado y activa las áreas respectivas; además, valida la presencia de la
señal ENCENDIDO.
14
Con dos sumadores 74283 se
compensan las temperaturas
medidas (A y B) de 4 bits cada
una, con los valores de
compensación CA y CB.

15
16
El bloque activador usa cuatro MUX 2 a 1 para escoger el
set point del sistema, entre 12 (1100) y 4 (0100) dependiendo del
valor de la entrada Función.

Luego, con dos comparadores 7485 se contrasta el valor real de


las zonas ALTA y BAJA contra el set point seleccionado, y se
determina las condiciones necesarias para activar las tres áreas.

Finalmente, se valida la activación de las tres áreas con la


activación de la señal Encendido.

La Salida S se genera con una operación OR entre las salidas de


activación de las tres áreas.

17
EJEMPLO # 3:
Diseñe un circuito digital que maneje el sistema de tarifas en una empresa
proveedora de servicio de telefonía celular. La empresa ofrece adicionalmente
el servicio de mensajes de texto. El circuito recibe las señales PLAN.H (Plan1.H,
Plan0.H) y funciona con el siguiente código :

El circuito recibe también el conjunto de señales de 4 bits MINUTOS.H


(Min3.H, Min2.H, Min1.H, Min0.H), cuyo valor binario equivale al total en
decenas de minutos utilizados en el mes por un cliente en particular. Ejemplo :
Si Min3,Min2,Min1,Min0 = 0101, serán 50 minutos totales usados en el mes.
La cantidad máxima de minutos que se pueden utilizar en un mes es de 150.
Adicionalmente, el circuito recibe la señal MENSAJE.L que al ser baja (L)
significa que el usuario contrató y utilizó el servicio de mensajes escritos.
18
Cont. EJEMPLO # 3:
En el conjunto de señales de 4 bits, SALIDA.H (Sal3.H, Sal2.H, Sal1.H, Sal0.h), el
circuito debe fijar el valor total en decenas de dólares a cancelar por parte del
cliente al final del mes. Ejemplo : Si Sal3, Sal2, Sal1, Sal0 = 1000 = el cliente
debe cancelar 80 dólares.
Las tarifas mensuales (en dólares) de los planes ofrecidos son las siguientes :

El circuito es utilizado al final de cada mes y de forma individual para cada


cliente, realizando las siguientes funciones: Identifica el plan contratado, suma
el costo de la tarifa base, suma el costo de los Mensajes si fueron contratados
y agrega el costo de los minutos adicionales a los gratis contratados.
19
EJEMPLO # 3:

Haga la implementación del circuito utilizando Multiplexores, Decodificadores,


Comparadores, Sumadores y puertas adicionales.

20
Paso 1: Entender claramente cuales son los requerimientos de
funcionamiento del sistema digital a diseñar.

Paso 2: Ver el circuito de control como una caja negra. En el caso del sistema
digital facturador del consumo de minutos de telefonía celular, el circuito de
control como una caja negra tiene sus entradas y salidas que vienen y van,
desde y hacia cada uno de los otros componentes del sistema digital.

21
COSTO TARIFA COSTO DE TEXTO
PLAN BASE MINUTOS COSTO MINUTOS MENSUAL $
GRATIS ADICIONALES $
BASICO 10 20 1 10
ECONOMICO 20 40 1 10
PROFESIONAL 30 60 1 10
EJECUTIVO 40 80 1 10

El circuito de control, visto como una caja negra, tiene las entradas y salidas
que se ilustran a continuación.

22
Paso 3: Dibujar el diagrama de bloques del circuito de control

23
Paso 4: Identificar los C.I MSI a utilizar en cada uno de los bloques
funcionales

- Para el interpretador del plan, se puede usar 3 MUXs de 4 a 1 cada uno;


son 3 MUXs porque debe generarse una salida de 3 bits y cada MUX de 4 a
1 porque hay cuatro fuentes de información.

- Para el calculador de minutos del plan, se puede usar un multiplicador por


2, pues los minutos del plan son el doble del costo del plan. Aquí se puede
usar 1 sumador de 4 bits (74283) y se suma 2 veces el mismo valor del
costo.
.

24
Paso 4: Identificar los C.I MSI a utilizar en cada uno de los bloques
funcionales

- Para el calculador de minutos adicionales, se puede usar un restador de 4


bits, implementado con un sumador de 4 bits (C.I.74283)

- Para el calculador del costo de minutos adicionales, no se requiere ningún


circuito integrado porque el costo de cada minuto adicional es $1. Esto es
la multiplicación del costo de la tarifa básica es x1

- Para el calculador del costo de mensajes, no se requiere ningún circuito


integrado, pues el costo de dichos mensajes es igual a la unidad y ésta es
$10.

. 25
Paso 4: Identificar los C.I MSI a utilizar en cada uno de los bloques
funcionales

- Para el calculador del valor a pagar, se puede usar un sumador de 4


bits(C.I.74283); sus entradas son: el costo de minutos adicionales (4 bits),
el costo de la tarifa básica y costo de los mensajes, siendo este ultimo la
entrada al acarreo de entrada (ci). Se aprovechaba el Ci para no tener que
usar otro sumador

26
Paso 5: Implementar cada bloque funcional, según el C.I. que se escoja

27
.

28
.

29
– Circuito integrado 7442
– Cada salida pasa a voltaje bajo cuando se aplica su entrada
NBCD correspondiente.
– Para combinaciones NBCD inválidas, ninguna de las salidas se
activa
– Se lo puede llamar decodificador de 4 a 10.
– El CI 7445 funciona de manera similar pero sus salidas son de
colector abierto, lo que permite operar con límites de voltaje y
corriente (30V, 80 mA) mayores que una salida TTL normal. Esto
las hace adecuadas para manejar directamente LEDs o lámparas,
relés o motores de corriente directa.
30
31
• Debe existir un medio para desplegar la información tal que el operador del
sistema pueda entenderla fácilmente.
• El método mas popular es desplegar información numérica en 7 segmentos
para formar los caracteres 0 al 9.
• El decodificador driver 7446 o 7447 se usa para tomar una entrada NBCD de
4 bits y a la salida encender los segmentos apropiados para visualizar el dígito

33
Cada segmento consta de un led. Todos los ánodos están conectados a la
fuente y los cátodos se conectan al integrado mediante resistencias
limitadoras de corriente.

34
35
Un circuito codificador (ENCODER) tiene un número de señales de entrada que
sólo una se activa en un tiempo determinado y produce un código de salida de
N bits dependiendo de cuál entrada se active

36
Un codificador de octal a binario (8 a 3 ) acepta ocho líneas de entrada y
produce un código de tres bits correspondiente a la entrada activada.

37
• El 74147 es un codificador de prioridad de decimal a BCD, con lógica
negativa.
• La entrada A9 tiene la mayor prioridad entre todas las entradas y basta que
ella esté presente para que su código se genere en la salida.
• Si ninguna entrada se activa, todas las salidas serán también falsas.

38
39
Realizar el diseño modular de una Máquina Despachadora de Periódicos que vende los siguientes
Diarios: El Universo, El Comercio, Diario Expreso y El Telégrafo. La máquina entrega un diario en
cada atención al usuario y debe ser construida para recibir monedas de $0,50 solamente.
Los precios de los diferentes diarios se muestran en la tabla 1.
Para que el comprador indique cuál Diario desea adquirir, existen 4 botones que envían
información a un bloque codificador con prioridad según la tabla 2. En ese momento, el circuito
presenta mediante dos displays (señal P/V) el precio del diario escogido en centavos.

Cada vez que el usuario ingresa una moneda de $0,50 se envía una señal Listo indicando que
el diario fue pagado. En ese momento, el circuito presenta en los mismos displays (señal P/V)
la cantidad de vuelto en centavos que entregará. El vuelto se recibe con monedas de $0,10
solamente, además se ilumina un LED que indica que el cambio está listo para ser retirado.
Para que el bloque de Entrega del Vuelto reconozca cuanto debe dar como cambio, el circuito
envía la señal # Monedas que en binario indica la cantidad de monedas de $0.10 a entregar.
40
1 KΩ

Presentar:
a) El diagrama de bloques de la Máquina Despachadora de Periódicos, mostrando claramente
la función que realiza cada bloque y el diseño interno del codificador con prioridad.
b) La implementación completa del circuito, utilizando circuitos integrados MSI y puertas
adicionales. Indique claramente las conexiones, nombre de las señales y de los integrados
usados.
41
42
43
44
45
46
Realizar el diseño modular de una máquina controladora de tarjetas de acceso a la Metrovía.
La máquina debe funcionar de la siguiente manera:

- Cada tarjeta tendrá un precio de $3,00 y abastecerá para realizar 12 viajes dentro de la
Metrovía, ya que cada viaje tiene un costo de $0,25.
- Cuando usted desea ingresar a la Metrovía, ingresa su tarjeta en el Lector/Grabador de
Acceso, se verifica su cupo, se descuenta un nuevo acceso y se activa Pasar, dejando que
usted entre al sistema de Metrovía.
- Las tarjetas de los usuarios tendrán incorporado un microchip de memoria en donde se
leerá ó grabará el número de acceso que se está realizando. Por ejemplo: Si usted ya ha
realizado 5 viajes con su tarjeta, entonces al realizar la lectura de su tarjeta se muestra #A =
0101. Luego, dado que usted realiza otro acceso, ahora se graba #A = 0110. No se almacenan
valores en dólares.
- Cuando su tarjeta ya ha cubierto su cupo, la máquina ya no le da acceso al sistema de
Metrovía (No se activa Pasar), y a su vez le pregunta mediante la Pantalla LCD si desea
comprar otra tarjeta, lo cual usted responde a través de un Pulsador, llamado Comprar
- Para realizar la compra de la nueva tarjeta, usted solo puede ingresar monedas de $1,00.
Por lo tanto la máquina no está habilitada para entregar vuelto. Solo al cubrir el valor del
precio de la tarjeta de acceso, la máquina le entrega la nueva tarjeta (Se activa ET.H) y usted
podrá ingresar a la Metrovía, al activarse la señal Pasar.
47
Presentar:
a) El diagrama de bloques de la Máquina Controladora de Acceso, mostrando claramente la
función que realiza cada bloque.
b) La implementación de cada bloque debe realizarse utilizando circuitos integrados MSI y
puertas adicionales. Indique claramente las conexiones, nombre de las señales y de los CIs
usados.
48
a) El diagrama de bloques de la Máquina Controladora de Acceso, mostrando claramente la
función que realiza cada bloque.

49
Presentar:
b) La implementación de cada bloque, utilizando circuitos integrados MSI y puertas
adicionales. Indique claramente las conexiones, nombre de las señales y de los integrados
usados.

50
51
53

También podría gustarte