Está en la página 1de 8

LABORATORIO VIRTUAL DE ARQUITECTURA DE

COMPUTADORES
PROFESOR: Mgs. Mauro Rosas Lara
INTEGRANTES: Erick Riofrio, Alvaro López, Anthony Chamorro, Ammy Yugcha,
David Yascaribay, Jordan Paida, Leandro Arguello, Pablo Sanchez
PARALELO: SI3-002
FECHA: 10/07/2021
PRACTICA No. 6 Nombre
de la práctica:
Diseño de un control de bus común con multiplexores Objetivos:

• Diseñar y simular un control de bus común con multiplexores


• Diseñar y simular un mux de 2 a 1 usando compuertas básicas

EQUIPO:
Compuertas AND 74ls08, Compuertas OR 74ls32, Inversores 74LS04, Logic State,
Logic Probe (BIG), Compuertas NOR, Multiplexores, Power, Ground, Output, Dual 1of-
4 Data Selectors 74Ls153, Displays de BCD de 7 segmentos, SMOS 4072, Triple 3Imput
Positive-AND Gates 7411.

TRABAJO PREPARATORIO:
Para el primer circuito se seleccionó las siguientes implementos del circuito: Power,
Ground, Output, Logic State, Logic Probe (BIG), Dual 1-of-4 Data Selectors 74Ls153,
Multiplexores, Displays de BCD de 7 segmentos; luego procedimos a armar el circuito
colocando de manera exacta y en orden sus partes, con el fin de obtener un bus de 4 bits
utilizando multiplexores, después de terminar procedimos a probar el funcionamiento y
luego realizamos la captura de pantalla de nuestro circuito.
Para el segundo circuito se seleccionó las siguientes implementos del circuito: Logic
State, Logic Probe (BIG),Multiplexores, Inversores 74LS04, SMOS 4072, Triple 3Imput
Positive-AND Gates 7411; luego procedimos a armar el circuito colocando de manera
exacta y en orden sus partes, con el fin de obtener un simulador de mux de 2 a 1 usando
compuertas básicas utilizando multiplexores, después de terminar procedimos a
probar el funcionamiento y luego realizamos la captura de pantalla de nuestro circuito.

PROCEDIMIENTO:
1. Armar en el simulador un control de acceso a un bus común de 4 bits usando
multiplexores.
Diseñar un bus común para 4 registros de A, B, C, D de 4 bits c/u utilizando
multiplexores. probar el funcionamiento y realizar la captura de pantalla del
simulador

2. Diseñar y simular un mux de 2 a 1 usando compuertas básicas.


probar el funcionamiento y realizar la captura de pantalla del simulador

CUESTIONARIO

1. Construir un mux de 4 a 1 usando usando mux de menor tamaño.


2. Diseñar un bus común para 6 registros de 4 bits c/u. Use los multiplexores
que necesite.

3. Consultar otras aplicaciones de los mux ( al menos 2).

Aplicaciones de Multiplexores
Los multiplexores se utilizan en diversas aplicaciones en las que es necesario
transmitir varios datos utilizando una sola línea.
Sistema de Comunicación
Un sistema de comunicación tiene tanto una red de comunicación como un
sistema de transmisión. Mediante el uso de un multiplexor, la eficiencia del
sistema de comunicación se puede aumentar al permitir la transmisión de datos,
como datos de audio y video de diferentes canales a través de líneas simples o
cables.
Memoria del Ordenador
Los multiplexores se utilizan en la memoria de la computadora para mantener una
gran cantidad de memoria en las computadoras y también para reducir la cantidad
de líneas de cobre necesarias para conectar la memoria a otras partes de la
computadora. Red Telefónica
En las redes telefónicas, se integran múltiples señales de audio en una sola línea
de transmisión con la ayuda de un multiplexor.
Dirección de los datos
Los multiplexores pueden dirigir los datos desde una de varias fuentes hacia un
destino
Conversión de paralelo a serial
Muchos sistemas digitales procesan datos binarios en forma paralela porque es
más rápido. Sin embargo, cuando estos datos deben ser transmitidos a distancias
relativamente largas, la configuración en paralelo es indeseable puesto que
requiere un número considerable de líneas de transmisión. Por esta razón los datos
que están de manera paralela se transforman a una forma serial antes de ser
transmitidos.
Secuencias de operaciones lógicas
Un multiplexor puede ser usado como un secuenciador o un dispositivo que
realiza una serie de operaciones con un orden predefinido
Generación de funciones lógicas
Los multiplexores pueden ser utilizados para implantar funciones lógicas
directamente desde una tabla de verdad sin necesidad de simplificación Bibliografía
Quiñones, J. G. (s.f.). Multiplexores. Recuperado el Julio de 2021, de Principios de
energia electrica y electronica:
https://www.mty.itesm.mx/etie/deptos/ie/profesores/jgomez/pe/mux_demux.pdf
Multiplexor y Demultiplexor: Tipos y sus Diferencias. (s.f.). Recuperado el JULIO de
2021, de ELECTRONICAONLINE:
https://electronicaonline.net/electronicadigital/multiplexor-y-demultiplexor-
tipos-y-sus-diferencias/

COMENTARIOS Y CONCLUSIONES Comentarios


1. Los multiplexores también pueden utilizarse para conmutar señales analógicas,
digitales o de video limitando la corriente de conmutación en los circuitos de
engería analógica a menos de 10mA a 20mA por canal, a fin de reducir la
disipación de calor.
2. Los multiplexores y los interruptores analógicos permiten un gran ahorro de
espacio, costo y energía en relación con los componentes, ya que permiten que
múltiples sensores compartan un ADC común. También ofrecen una gran
flexibilidad para cambiar las conexiones de circuitos bajo el control de una
computadora, ya sea al compartir buses de comunicaciones o al cambiar las
conexiones de transductores.
3. En esta práctica se ha aprendido a llevar información binaria de varias entradas a
una salida de forma conmutada y controlada por entradas de control.

Conclusiones
1. Esta practica sirvió para entender el correcto funcionamiento del multiplexor se
necesito realizar ejercicios en el simulador proteus que permitieron plantear de
mejor manera la operación, pues es la aplicación de suma de sumadores.
2. Dentro de la practica vimos como funcionaban los multiplexores los cuales tiene
varias entradas y solo una salida de datos, con las entradas de selección se elige
solo una entrada de datos la cual se encarga de transmitir los datos hasta la salida.
3. El uso de los multiplexores amplio nuestro conocimiento sobre circuitos
analógicos de modo que combinado a nuestros conocimientos anteriores a los
multiplexores podemos armar estructuras mas complejas.

UNIVERSIDAD CENTRAL DEL ECUADOR


FACULTAD DE INGENIERÍA Y CIENCIAS APLICADAS
INGENIRIA SISTEMAS DE INFORMACION
INFORME DE ARQUITECTURA DE COMPUTADORAS

Integrantes:
Erick Riofrio, Alvaro López, Anthony Chamorro, Ammy Yugcha, David Yascaribay, Jordan
Paida, Leandro Arguello, Pablo Sanchez.
Curso: Fecha: 10/07/2021
SIS3-002

Tema: Diseño de un control de bus común con multiplexores .

Objetivo General
Diseñar un control de bus y un mux, usando los diferentes componentes del simulador de circuitos
Proteus, para aprender a cerca de sus funciones y procesos respectivos.

Objetivos Específicos
▪ Aprender sobre el funcionamiento de los multiplexores, sus aplicaciones , además de poder
implementarlos en el circuito de manera correcta.
▪ Investigar el funcionamiento de los diferentes multiplexores y implementos que se
ocuparan en el circuito, para un mayor funcionamiento y entendimiento del mismo.
▪ Probar el funcionamiento de los multiplexores, para determinar sus distintas
funcionalidades.

Descripción del Proyecto


1. Abrir Proteus.
2. Crear un nuevo proyecto.
3. Seleccionar los implementos para desarrollar el proyecto.
4. Armar los circuitos planteados en el objetivo.
5. Se implementan multiplexores para el desarrollo del circuito.
6. Realizar pruebas para verificar sus funcionamientos respectivos.
7. Tomar capturas de pantalla de los circuitos.
8. Guardar los proyectos respectivamente.
9. Realizar el informe.

Infraestructura del Computador


▪ Disco duro de 1TB.
▪ Sistema operativo Windows 10 pro 64 bits.
▪ Proteus Version 8.5 para 64 bits.
▪ Memoria Ram de 8 GB
▪ Procesador Intel Core i7 2da Generación.
▪ Microsoft Office 2013.
▪ Word 2010.

Alcance del Proyecto


Este proyecto está dirigido a personas con el suficiente conocimiento en la aplicación proteus, y
además que manejen de manera correcta y funcional, además de dominar el tema de multiplexores
para la mejor aplicación de los mismos, en conjunto sepa dominar los conocimientos requeridos
al momento de armar el circuito y ponerlo en funcionamiento, de manera que no se le complique
y ni le parezca tedioso a la persona o al usuario que realice tal proyecto, además que debe contar
con conocimiento previo de la materia, ya que le facilitará el trabajo, sabiendo para que se aplica
este tipo de circuitos.
Limitaciones
I. No conocer la funcionalidad de la aplicación proteus, impidiendo realizar de una manera
correcta el proyecto.
II. No contar con el sistema operativo de Windows para descargar el programa.
III. Que el computador no disponga de los requisitos mínimos que requiere el programa para
ejecutarse.
IV. Desconocer el nombre de los elementos en la aplicación proteus y como encontrarlos.
V. Ignorar el funcionamiento de los multiplexores, dificultando la elaboración de los
circuitos.

Conclusiones y Recomendaciones
Conclusiones:
a. Un multiplexor puede reducir el uso de cables, además hace que un circuito de transmisión
sea menos complejo.
b. Se puede variar con la capacidad de un multiplexor, ampliándola permitiendo asi cambiar
señales de audio, video entre otras.
c. Cada multiplexor que se investigo se los implemento para la realización de diferentes
circuitos ya que estos brindan características especifica al momento de ser utilizados en la
creación de un circuito.
Recomendaciones:
a. Observar en la hoja de datos las entradas, salidas y los selectores de cada uno de los
circuitos integrados a utilizar.
b. Tomar en cuenta que el numero de selectores define el numero de entradas que tendrá el
circuito multiplexor.
c. Verificar el correcto funcionamiento de los circuitos integrados que se va a utilizar en la
implementación.

Bibliografía
Quiñones, J. G. (s.f.). Multiplexores. Recuperado el Julio de 2021, de Principios de energia
electrica y electronica:
https://www.mty.itesm.mx/etie/deptos/ie/profesores/jgomez/pe/mux_demux.pdf
Multiplexor y Demultiplexor: Tipos y sus Diferencias. (s.f.). Recuperado el JULIO de 2021, de
ELECTRONICAONLINE: https://electronicaonline.net/electronica-digital/multiplexory-
demultiplexor-tipos-y-sus-diferencias/
Firmas integrantes

Leandro Arguello Pablo Sánchez


0605407725 1723088322

David Yascaribay Ammy Yugcha


1725617730 1721588042

Erick Riofrio Anthony Chamorro


1750587113 1751388776

Álvaro López Jordan Paida


1726267832 1727089292

También podría gustarte