Está en la página 1de 1

ENTITY ejemplo4_5 IS

PORT (a, b, c: IN bit;


salida: out bit);

END ejemplo4_5;

ARCHITECTURE comportamental OF ejemplo4_5 IS


BEGIN
PROCESS (a, b, c) --Lista sensible.
BEGIN
IF (c='0') THEN
salida <= a;
ELSE
salida <= b;
END IF;
END PROCESS;
END comportamental;

PINES

PIN_AB28

PIN_AC28
PIN_AC27
PIN_AD27
PIN_AB27
PIN_AC26

ENTITY ejemplo3_5 IS
PORT (a, b, c: IN bit;
salida: out bit);

END ejemplo3_5;

ARCHITECTURE flujo2 OF ejemplo3_5 IS


BEGIN
salida <= a WHEN c ='0' ELSE

b;
END flujo2;

También podría gustarte