Está en la página 1de 4

Sistemas Digitales I

Informe de resultados de práctica # 5


Capítulo del curso: Implementación de circuitos MSI usando VHDL.

Objetivos de aprendizaje:
• Describir circuitos MSI usando VHDL.
• Importar archivos a un proyecto en Quartus y utilizarlos en el diseño modular
de un sistema digital.
• Implementar un sistema combinatorial utilizando la tarjeta DE0 - Nano.

1. Registre la descripción en VHDL del circuito multiplexor 2 a 1 a utilizar.

library ieee;

use ieee.std_logic_1164.all;

entity Mux2_1 is

port( Sel: in std_logic;

D0, D1: in std_logic_vector (3 downto 0);

Q: out std_logic_vector (3 downto 0));

end Mux2_1;

architecture desarrollo of Mux2_1 is

signal temp: std_logic_vector (3 downto 0);

begin

process(Sel) begin

case Sel is

when '0' => temp<=D0;

when '1' => temp<=D1;

end case;

end process;

Q<=temp;

end desarrollo;
2. Registre una captura del diagrama de bloques de Quartus donde se visuales
la conexión completa.

3. Registre una captura del registro de compilación del archivo .bdf sin errores.

4. Registre una foto de la implementación en protoboard (y los LEDS de la


tarjeta) e interprete los resultados de tres casos. Los casos descritos deben
incluir cuando:
a. A+B < 9

El numero A esta conformado por los bits 0100 y el B por 0000, dando
como resultado A, el circuito recibe las señales y la FPGA hace las
operaciones lógicas. En este caso al ser la suma menor a 9, no se le
suma 6 y presenta solo en el display de unidades. (No se aprecia por
la luz)

b. A+B = 9

El numero A esta conformado por los bits 0101 y el B por 0100, dando
como resultado 9, el circuito recibe las señales y la FPGA hace las
operaciones lógicas. En este caso al ser la suma igual 9, no se le suma
6 y presenta solo en el display de unidades. (No se aprecia por la luz)

c. A+B > 9

El numero A esta conformado por los bits 1001 y el B por 0101, dando
como resultado el vector de bits 1110, el circuito recibe las señales y
la FPGA hace las operaciones lógicas. En este caso al ser la suma
mayo a 9, se le suma 6 realizando la conversión de binario a NBCD.
Por último se representa el numero 1 correspondiente al display de
decenas y 4 al de unidades.

También podría gustarte