Está en la página 1de 5

CIRCUITOS ELECTRÓNICOS DIGITALES - ESCUELA POLITÉCNICA SUPERIOR – UNIVERSIDAD AUTÓNOMA DE MADRID

Guía de Problemas FSMs Moore – Síntesis


eduardo.boemo@uam.es

App de CED UAM con problemas interactivos de FSMs:

https://play.google.com/store/apps/details?id=com.SequentialCircuits

Problema 1: Dibujar el esquema de una máquina de Moore. Indique que se entiende por: Memoria, Estado Actual,
Estado Siguiente, Lógica del Próximo Estado, Lógica de Salida, Asignación de estados, Reset síncrono y Reset
asíncrono.

DETECTORES DE SECUENCIAS

Problema 2: Diseñar una FSM Moore que en una comunicación serie sincronizados con una señal de reloj CLK
detecte el número binario 3 (011) con:

a) Solapamiento. Transmitidos en formato MSBF.


b) Detección dentro de una ventana de 3 bits, transmitidos en formato MSBF.
c) Detección dentro de una ventana de 3 bits, transmitidos en formato LSBF.

Problema 3: Diseñar una FSM Moore que detecte 011 ó 101, en una comunicación serie en formato MSBF
sincronizada con una señal de reloj CLK. Realice dos versiones:

a) Detección dentro de una ventana de 3 bits.


b) Detección con solapamiento.

Problema 4: Diseñar una FSM Moore que detecte 111 ó 110, en una comunicación serie en formato MSBF
sincronizada con una señal de reloj CLK. Realice dos versiones:

c) Detección dentro de una ventana de 3 bits.


d) Detección con solapamiento.

Problema 5: Diseñar una FSM Moore que detecte 010 ó 101, en una comunicación serie en formato MSBF
sincronizada con una señal de reloj CLK. Realice dos versiones:

e) Detección dentro de una ventana de 3 bits.


f) Detección con solapamiento.

Problema 6 (4 casos opcionales, para fijar los conceptos de los problemas anteriores): Un circuito secuencial tiene
una entrada X de datos serie sincronizados con una señal de reloj CLK. Los datos se transmiten en formato MSBF. La
salida Z del circuito debe ser 1 cuando se detecte una secuencia que incluya los siguientes valores con solapamiento:

Ejercicio 6A: 100 ó 001


Ejercicio 6B: 111 ó 011
Ejercicio 6C: 101 ó 011
Ejercicio 6D: 100 ó 001
Problema 7: Una FSM tiene una entrada X de datos serie sincronizados con una señal de reloj CLK. La salida Z del
circuito debe ser 1 cuando se detecte una secuencia que incluya 1100 o 1001 en una ventana de 4 bits.
Considerando un reset inicial a un estado S0/0. Sintetice una FSM Moore.

Problema 8: Una FSM tiene una entrada X de datos serie sincronizados con una señal de reloj CLK. La salida Z del
circuito debe ser 1 cuando se detecte una secuencia que incluya 1100 o 1001 con solapamiento. Considerando un
reset inicial a un estado S0/0. Sintetice una FSM Moore.

Problema 9 (4 casos opcionales, para fijar los conceptos de los problemas anteriores): Repita el ejercicio 7 y 8 para:
a) 0001 y 0011; b) 0100 y 0111; c) 0101 y 1100 y d) 0001 y 0000.

Problema 10 (concepto más de 1 bit de entrada): Una máquina de estados tiene una entrada de dos bits (I1, I0)
sincronizada con una señal de reloj CLK. Se requiere realizar un circuito cuya salida Z sea 1 cuando los últimos 4
pares de bits recibidos sean I1I0 = 11, 01, 01 y 11 (solapamiento). Se pide sintetizar el modelo Moore con FF tipo D.

Problema 11: Una máquina de estados tipo Moore recibe una comunicación serie de un bit sincronizado con una
señal de reloj clk. Partiendo de un reset inicial, la máquina debe poner su salida Z=1 cuando detecte que los últimos
tres o cuatro bits corresponden a alguna de las dos secuencias: 010 o 1001 (con solapamiento). Realizar la síntesis
utilizando FF tipo D.

Problema 12: Un circuito secuencial tiene una entrada X de datos serie sincronizados con una señal de reloj CLK. La
salida Z del circuito debe ser 1 cuando se detecte una secuencia del tipo:

0100...0
010100
01010100...0
0101010100...0

Es decir, que la secuencia comience con un 0, después alterne 1s y 0s, y termine con uno o más 0s. En el diagrama de
abajo se puede observar un ejemplo. Sintetice la FSM mediante el modelo Moore utilizando FF tipo D.

Problema 13: Se desea transmitir a un equipo en Marte un comando de “armado” y otro comando de “clear”. El
receptor tiene un demodulador que transforma la señal de antena a banda base (bits en serie sincronizados con una
señal CLK). Una máquina Moore analiza los comandos y su salida Z debe pasar a “1” si se detecta la secuencia 1001
(comando de “armado” remoto) y a “0” si se detecta cuando detecta 1100 (comando de clear remoto). En el resto de
los casos, la salida debe permanecer sin cambiar. Sintetice la FSM utilizando FF tipo D.

Problema 14: Realizar un circuito secuencial de tipo Moore que analice una cadena de n bits que se transmiten en
serie sincronizados con una señal de reloj. Utilizar flip-flops tipo D. La salida de este debe ser “1” cada vez que la
cadena tenga un número par de “1” y un número impar de “0”. Suponga que una señal asíncrona de reset pone el
circuito en el estado inicial So. NOTA : ningún “0” o ningún “1” se considera par. Indicar el diagrama de estados, así
como las ecuaciones de excitación y salida.

Problema 15: Un circuito recibe por una entrada X, datos en serie sincronizados con una señal de reloj. Partiendo
de un estado inicial con salida Z=0, el circuito debe poner la salida Z=1 cada vez que detecte que se han recibido 2 o
más "1" sucesivos, o 4 o más "0" sucesivos. Diseñar una máquina de Moore que resuelva el problema.
Problema 16 (dificultad alta): Completar el diagrama de estados adjunto, correspondiente a una máquina Moore
que tiene dos entradas X1 y X2 sincronizadas con una señal de reloj CLK y una salida Z. La salida no cambia salvo
cuando ocurre alguna de las siguientes secuencias de entrada:

• X1 X2 = 00, seguida de X1 X2 = 11 (secuencia de set). En este caso, la salida debe pasar a 1.


• X1 X2 = 10, seguida de X1 X2 = 11 (secuencia de reset). En este caso, la salida debe pasar a 0.
• X1 X2 = 11, seguida de X1 X2 = 00 (secuencia de toggle). En este caso, la salida debe invertirse.

Nota: Realice el diagrama usando la figura adjunta. Considere solapamiento y que la máquina puede partir
indistintamente de un estado inicial S0/0 o S5/1.

CONTROL

Problema 1: Se quiere diseñar una máquina expendedora de huevos Kinder ©. El precio de los huevos es de 0.50
euros. La máquina aceptará monedas de 0.20 y 0.10 euros. La máquina no devuelve cambio, pero entrega un huevo
cada vez que recibe al menos 0.50 euros. Una salida S1 a nivel alto durante un periodo de reloj da lugar a que se
abra la trampilla y el cliente reciba su huevo. El resto del tiempo, la salida permanece inactiva (nivel bajo).

La máquina de estados tiene una salida (S) y dos entradas (E1 y E0). Las entradas provienen de un detector de
monedas que codifica el valor de la moneda introducida, según la siguiente tabla:

Moneda Código (E1, E0)


Ninguna 00
10 céntimos 01
20 céntimos 10

El detector de moneda también genera una señal CLK para validar E1 y E2 en el flanco de subida del mismo.

Por seguridad, para todas las entradas no definidas, la máquina debe volver al estado inicial. Es decir, NO se usa
redundancia.

Problema 2: Un generador de onda cuadrada tiene una señal de control F. Si F=0, la salida del generador es una
onda cuadrada con duty cycle 3/4 (fase 0 en el cronograma) y si F=1, la salida es una señal (fase2) con duty cycle
igual a 1/2. Si la señal de control F cambia en el medio de una onda, la misma debe completar su ciclo antes de
cambiar a la otra fase.

Se pide: dibujar el diagrama de estados de una FSM Moore que resuelva el problema con el mínimo número de
estados, partiendo de un estado inicial S0/0
Problema 3: [FINAL CEDG ETSIT-UPM, SEP 2003] Diseñar un sistema de control Moore de llenado de un
depósito de agua, cuyo esquema es el de la Figura 3, y donde:

• A y B son dos señales, activas a nivel alto, que controlan dos electroválvulas que permiten el
llenado del depósito, abriendo las entradas de agua correspondientes.
• D es una señal que controla una electroválvula que permite el vaciado del depósito, a través del
desagüe correspondiente.
• N0, N1, N2 son detectores de nivel de agua que se activan generando un nivel alto de tensión “1” al
entrar en contacto con el agua.
• AL es una señal de alarma que se activa en ciertos casos (ver más abajo).

El funcionamiento del circuito a diseñar es el siguiente:

• Si el agua desciende por debajo de N0, se deben de activar las dos electroválvulas simultáneamente
A y B, permitiendo el llenado del tanque a través de las dos entradas.
• Si el agua alcanza N0, sólo se activará A, desactivándose B.
• Si el agua alcanza N0 y N1, se desactivarán las dos señales A y B.
• Si el agua alcanza N0, N1 y N2, se desactivarán A y B y se activará D.
• Cualquier otra situación anómala de N [0,1,2] activará la alarma y provocará la parada de A y B, así
como la desactivación de D. Se considera una situación anómala cualquiera de los casos no
contemplados anteriormente, por ejemplo, el que se activen N2 y N1 y no se active N0.

Problema 4: [FINAL CED UAM, SEP 2009] Se desea automatizar la apertura de una puerta de garaje. Para
ello se dispone de un motor que posee dos entradas, S y B. Cuando S=1 y B=0 el motor sube la puerta,
cuando S=0 y B=1 el motor baja la puerta, cuando S=B=0 el motor se detiene. Para controlar la puerta se
dispone de un pulsador P que funciona según 4 condiciones:

1. Si la puerta está subiendo o está bajando y se acciona el pulsador (P=1), la puerta se debe detener.
2. Estando detenida la puerta, si se acciona el pulsador (P=1) la puerta deberá bajar, si antes de
detenerse estaba subiendo.
3. Estando detenida la puerta, si se acciona el pulsador (P=1) la puerta deberá subir, si antes de
detenerse estaba bajando.
4. Después del reset inicial, P=1 debe subir la puerta.

Diseñar la FSM Moore de control, usando FF tipo D. Los dibujos y circuitos deben tener calidad alta
(simetría, letra clara, buena proporción, etc.). No se olvide de indicar la nomenclatura para las entradas
y salidas junto con el significado de los estados.

Problema 5: [FINAL CED UAM JUN 2013] Una puerta automática se abre o cierra a distancia mediante
dos órdenes que se transmiten en serie en sincronismo con una señal de reloj. Si la puerta recibe 1011
se abre (Z=1) y si recibe 1101 se cierra (Z=0). Completar el diagrama de estados adjunto, considerando
solapamiento y que inicialmente la puerta está cerrada.

También podría gustarte