Está en la página 1de 13

Objetivos

• Implementar 3 contadores de diferentes módulos para emplearlos en la construcción de un reloj digital.


• Emplear el lenguaje VHDL para generar los algoritmos de funcionamiento.
• Comprobar el funcionamiento de los 3 contadores

Introducción
Los contadores MOD son circuitos de contador en cascada que cuentan para un valor de módulo establecido
antes de restablecer.
El trabajo de un contador es contar avanzando el contenido del contador una cuenta con cada pulso de reloj. Se
dice que los contadores que avanzan su secuencia de números o estados cuando se activan mediante una entrada
de reloj operan en un modo de "cuenta ascendente". Asimismo, se dice que los contadores que disminuyen su
secuencia de números o estados cuando se activan mediante una entrada de reloj operan en un modo de "cuenta
atrás". Los contadores que operan en los modos ARRIBA y ABAJO, se denominan contadores bidireccionales.
El número de estados o secuencias de conteo a través de los cuales un contador particular avanza antes de
regresar nuevamente a su primer estado original se denomina módulo (MOD). En otras palabras, el módulo es
el número de estados que cuenta el contador y es el número divisor del contador.
Contador Módulo 10
Un buen ejemplo de un circuito contador modulo-m que usa circuitos combinacionales externos para producir
un contador con un módulo de 10 es el contador de décadas. Los contadores de década (división por 10), como
el TTL 74LS90, tienen 10 estados en su secuencia de conteo, lo que lo hace adecuado para interfaces humanas
donde se requiere una pantalla digital.
El contador de décadas tiene cuatro salidas que producen un número binario de 4 bits y mediante el uso de
compuertas externas AND y OR podemos detectar la ocurrencia del noveno estado de conteo para restablecer el
contador a cero. Al igual que con otros contadores de mod, recibe un pulso de reloj de entrada, uno por uno, y
cuenta de 0 a 9 repetidamente.
Una vez que alcanza el recuento 9 (1001 en binario), el contador vuelve a 0000 en lugar de continuar a 1010. El
circuito básico de un contador de décadas se puede hacer a partir de flip-flops JK (TTL 74LS73)

Equipo y Material
1 GAL22V10D
Material necesario para la implementación de los 3 sistemas.
1 Fuente de voltaje de CD.
1 Multímetro
1 Programador Universal
1 Protoboard
1 Generador de funciones

Procedimiento Experimental
1. Se creó en ISPLEVER los 3 proyectos indicados para los contadores módulo 10, módulo 6 y módulo 12.
2. Se obtuvo cada uno de los 3 archivos.jed necesarios para realizar la programación de cada uno de los
contadores en la GAL.

Creación y cargado de programa Mod 6


Creación y cargado de programa Mod 10
Creación y cargado de programa Mod 12
3. Se realizaron pruebas para cada uno de los circuitos contadores implementados empleando un reloj de 1Hz.
Se implementaron todos a la vez para observar las principales diferencias entre estos, así como para ver su
comportamiento repetitivo de cada contador, así como el comportamiento en particular del contador módulo
12, que contiene un indicador para las decenas 1X, así como u bit indicador de si es pm o am.
Todos los contadores respondieron de la manera esperada, sin presentar ningún tipo de error.

Cuestionario
1. Explique el funcionamiento de las instrucciones del contador módulo 12.

4 bits (unidades horas) se encargan de contar del 0 al 9 así como del 0 al 2, dependiendo de si decenas_horas
con tiene un valor de uno o cero, esto similar a un reloj digital de 12 horas.
Cuanto el contador de unidades llegue a 9, este se reinicia a cero, pero se coloca en uno el valor de las decenas
como lo haría un reloj para representarlo en 2 displays de 7 segmentos. Por ultimo al llegar al 2 en unidades y
uno en decenas el contador se salta a uno y enciende el bit de am/pm que indica que ya paso de medianoche o
mediodía, y así sucesivamente (el contador de unidades solo tendrá un valor de 0 al momento de inicializar el
programa, para todos los demás casos al pasar de las 12 ira directamente a las 01 horas).
2. Explique la característica del lenguaje VHDL que indica que utiliza programación paralela.
La misión más importante de un lenguaje de descripción HW es que sea capaz de simular perfectamente el
comportamiento lógico de un circuito sin que el programador necesite imponer restricciones. Una ejecución del
código utilizando las reglas básicas de cualquier lenguaje de programación al uso daría dos resultados diferentes
sobre la misma descripción del circuito. Esto no sucede en VHDL porque en HW todos los circuitos trabajan a
la vez para obtener el resultado (todo se ejecuta en paralelo) mientras que en software el orden de las
instrucciones delimita la actualización de las variables (ejecución secuencial de las instrucciones).

Conclusiones
Al momento de escribir los programas se logró entender la estructura básica del if en VHDL para ser utilizado
como estructura cíclica repetitiva, además se logró observar y comprobar el funcionamiento de diferentes
contadores, por esta razón se hizo evidente la principal diferenciación entre cada uno de estos contadores que
eran de distintos módulos.
Una de las aplicaciones más usadas de estos contadores es en los relojes digitales, en donde se utiliza un
contador módulo 10 y módulo 6 para minutos y segundos. Y un contador módulo 12 (o 24 dependiendo del
modelo de reloj) para las horas con bits que identifican las decenas, así como el paso de am a pm, solo haría
falta un decodificador de binario a bcd para poder llevarse a cabo.

Bibliografía
https://eprints.ucm.es/26200/1/intro_VHDL.pdf
http://tutorialesdeelectronicabasica.blogspot.com/2019/06/los-contadores-mod-son-contadores-de.html

También podría gustarte