Está en la página 1de 11

INTRODUCCIÓN

El presente trabajo presenta gradualmente los conceptos básicos necesarios para


comprender y describir los tipos de circuitos secuenciales, a través de una serie de
ejercicios.
La necesidad de memoria, como recuerdo del pasado de un sistema secuencial, se
concreta en el concepto de estado. Pero existe otra perspectiva complementaria que nos
lleva a la memoria como necesidad de almacenar datos y resultados, es decir, conservar
información que puede ser utilizada posteriormente. El biestable como celda capaz de
almacenar un bit y el registro (conjunto de biestables) como bloque capaz de almacenar
una palabra binaria son los elementos básicos de la memoria.
Precisamente, el siguiente trabajo desarrollará el diseño de circuitos secuenciales,
utilizando los biestables como celdas que contienen las variables de estado.

https://ikastaroak.birt.eus/edu/argitalpen/backupa/20200331/1920k/es/IEA/ELEC/ELEC03/es_IEA
_ELEC03_Contenidos/website_3_registros.html

(99+) (PDF) EJERCICIOS RESUELTOS DE SECUENCIALES | Victor Quintero Huamani - Academia.edu


Registros.
Los registros son dispositivos formados por biestables síncronos por flanco, capaces de almacenar
información durante un tiempo. Es necesario un biestable por cada bit de información que se
almacena. El número de bits de un registro es el número de biestables que lo componen que, a su
vez, es el número de bits que puede almacenar.

La utilización de los registros se encuentra muy extendida, son fundamentales en multitud de


aplicaciones de diferente índole, ya que son circuitos de gran versatilidad. Se pueden utilizar como
bloques independientes, en circuitos MSI, media escala de integración, o como bloques
funcionales en estructuras de gran complejidad, como microprocesadores, memorias, ...

El formato de esta información puede ser de dos tipos: serie o paralelo.

Cuando el formato es serie los bits se transfieren uno a continuación del otro por una misma línea
de comunicación. Por el contrario, un formato es paralelo cuando se intercambian todos los bits al
mismo tiempo, utilizando un número de líneas de transferencia igual al número de bits.

Atendiendo a estas consideraciones se pueden establecer la siguiente clasificación de los registros


en función del formato de la información:

 Entrada serie, salida serie.

 Entrada serie, salida paralelo.

 Entrada paralelo, salida serie.

 Entrada paralelo, salida paralelo

Para explicar la entrada serie o salida serie, cuando se recibe una palabra binaria, cada bit de
transferencia al registro debe dejar sitio al siguiente bit que se reciba, y así sucesivamente hasta
completar la transferencia de la palabra, por lo que los bits se irán desplazando a través de los
biestables que conforman el registro.

Por esta razón, los registros que utilizan formato serie, de entrada, o salida, reciben el nombre
de registros de desplazamiento.

Registro con entrada serie y salida serie.


Un registro entrada serie-salida serie, es aquel en el que la transmisión de la información desde la
entrada a la salida se realiza bit a bit, por lo que tendrá una sola línea de entrada y una sola línea
de salida.

A continuación, mostramos un registro con entrada serie y salida serie:

https://ikastaroak.birt.eus/edu/argitalpen/backupa/20200331/1920k/es/IEA/ELEC/ELEC03/es_IEA
_ELEC03_Contenidos/website_3_registros.html

(99+) (PDF) EJERCICIOS RESUELTOS DE SECUENCIALES | Victor Quintero Huamani - Academia.edu


 

Explicamos su funcionamiento partiendo que todos sus biestables están a cero, es decir en
situación de reset.

Si en el instante t=0 se coloca un "1" por la entrada serie, cuando llegue el flanco activo del reloj
será almacenado en el primer biestable y pasará a ser la información de entrada para el segundo
biestable, el cual la adquirirá en el siguiente flanco activo de reloj y así sucesivamente. Por lo que
serán necesarios tantos impulsos de reloj como biestables compongan el registro, para almacenar
una palabra cuya longitud sea igual al número de biestables.

Las salidas de los biestables que conforman el registro son a su vez entradas de los que siguen, por
lo que se necesita un elemento de memoria, biestable, en el que se pueda leer el estado anterior
mientras que el nuevo se está escribiendo. De esta forma, se asegura que los biestables conservan
sus salidas, independientemente del próximo estado al que vayan a evolucionar.

Cuando los bits se transfieren, a lo largo de un registro de desplazamiento, desde los biestables
situados a la izquierda hacia los de la derecha, se dice que el desplazamiento es a la derecha.

Se habla de desplazamiento a la izquierda en caso contrario. Si el registro combina los dos tipos de
desplazamiento se le llama bidireccional.

 El registro 74HC595PW puede comportarse como un registro serie-serie, serie-paralelo. A


continuación, se muestra el diagrama de contactos del circuito integrado. Está compuesto por 16
patillas, la entrada de datos se realiza por el "pin 14" Ds. La salida de datos se lleva a cabo por el
"pin 9" Q'7 si utilizamos la salida serie. Si utilizamos la salida en paralelo utilizaremos las salidas
Q0-Q7. El resto de patillas son entradas de control o reloj, entradas de control o entradas de
alimentación como son las patillas 8 y 16 (GND y Vcc).

https://ikastaroak.birt.eus/edu/argitalpen/backupa/20200331/1920k/es/IEA/ELEC/ELEC03/es_IEA
_ELEC03_Contenidos/website_3_registros.html

(99+) (PDF) EJERCICIOS RESUELTOS DE SECUENCIALES | Victor Quintero Huamani - Academia.edu


Registro con entrada serie y salida paralelo.
Un registro entrada serie-salida paralelo es aquel en el cual, la información entra al registro a
través de una sola línea de entrada, y sale a través de varias líneas de salida, tantas como
biestables conformen el registro, por lo que se podrán utilizar para la conversión de información
de formato serie a formato paralelo.

La estructura de un registro de entrada serie y salida paralelo es similar a la de un registro entrada


serie-salida serie, haciéndose accesibles además las salidas de los biestables que lo forman.

A continuación, se muestra un registro entrada serie-salida paralelo:

Explicamos su funcionamiento partiendo que todos sus biestables están a cero, es decir en
situación de reset.

Si en el instante t=0 se coloca un "1" por la entrada serie, cuando llegue el flanco activo del reloj
será almacenado en el primer biestable y pasará a ser la información de entrada para el segundo
biestable. Además, como podemos observar, la salida QA mostrará la información del estado Q del
primer biestable, porque la salida Q de todos los biestables están asociadas a las salidas
directas: QA, QB, QC, QD y QE que conformarán la salida paralelo del registro.

En el registro del ejemplo de arriba, se necesitarán cinco impulsos de reloj para realizar el cambio
de formato de una palabra serie a paralelo. El número de impulsos de reloj, es directamente
proporcional al número de biestables que formen el registro; por lo que un registro con ocho

https://ikastaroak.birt.eus/edu/argitalpen/backupa/20200331/1920k/es/IEA/ELEC/ELEC03/es_IEA
_ELEC03_Contenidos/website_3_registros.html

(99+) (PDF) EJERCICIOS RESUELTOS DE SECUENCIALES | Victor Quintero Huamani - Academia.edu


biestables necesitará ocho impulsos de reloj para realizar la conversión de una palabra de serie a
paralelo.

A continuación, se muestra un registro de desplazamiento serie-paralelo, compuesto por 4


biestables D, y el cronograma que indica la evolución del circuito.

La entrada CLK es la señal de reloj, que activa a las entradas en cada flanco de subida. La
entrada CLR', es activa por nivel bajo, ya que está complementada, está desactivada en el
cronograma. Por último, las gráficas QA, QB, QC y QD muestran la evolución de las señales de salida
del registro.

Registro con entrada paralelo y salida serie.


Un registro entrada paralelo-salida serie es aquel en el cual, la información entra a través de
varias líneas de entrada, tantas como biestables conformen el registro, y salen a través de una sola
línea de salida. Por lo que se podrán utilizar para la conversión de información de formato paralelo
a formato serie.

Este tipo de registros tiene la función contraria a la de los anteriores, es decir, cambiar el formato
paralelo de una información a serie. Suelen incluir también una entrada serie con la que se les
puede utilizar como registros serie-serie, aumentando de esta forma su versatilidad.

Su modo de operación consta de dos partes: carga del dato, que se realiza en paralelo y
desplazamiento del contenido para obtenerlo en serie a la salida.

La carga del dato se puede realizar de dos formas: asíncrona y síncrona.

A continuación, mostramos el esquema de un registro entrada paralelo-salida serie:

https://ikastaroak.birt.eus/edu/argitalpen/backupa/20200331/1920k/es/IEA/ELEC/ELEC03/es_IEA
_ELEC03_Contenidos/website_3_registros.html

(99+) (PDF) EJERCICIOS RESUELTOS DE SECUENCIALES | Victor Quintero Huamani - Academia.edu


La información se carga en paralelo y se efectúa por medio de las entradas asíncronas (PRESET y
CLEAR) de cada biestable.

La línea de entrada "Desplazamiento/Carga" indica, mediante un uno y un cero respectivamente,


si se va a realizar una operación de desplazamiento o de almacenamiento en paralelo de la
información presente en las líneas A, B, C, D y E.

El registro 54LS165 puede comportarse como un registro serie-serie, paralelo-serie. A


continuación, se muestra el diagrama de conexiones del circuito integrado. Está compuesto por 16
patillas, la salida de datos se realiza por el "pin 9" QH. La entrada de datos se lleva a cabo por las
patillas 3, 4, 5, 6, 11, 12, 13 y 14 si utilizamos la entrada paralelo. Si utilizamos la entrada serie, la
información entrará por el pin 10 "serial input". El resto de patillas son entradas de control o reloj,
como la patilla 2 y 15, entradas de control o entradas de alimentación como son las patillas 8 y 16.

Registro con entrada paralelo y salida paralelo.


Un registro entrada paralelo-salida paralelo es aquel en el cual, la información entra y sale a
través de varias líneas de entrada y salida, tantas como biestables conformen el registro.

https://ikastaroak.birt.eus/edu/argitalpen/backupa/20200331/1920k/es/IEA/ELEC/ELEC03/es_IEA
_ELEC03_Contenidos/website_3_registros.html

(99+) (PDF) EJERCICIOS RESUELTOS DE SECUENCIALES | Victor Quintero Huamani - Academia.edu


Los registros paralelo-paralelo almacenan la información presente en sus entradas, en paralelo.
Para ello utilizan, un pulso de habilitación (este tipo de circuitos se conoce por el nombre de latch)
o un flanco de una señal de reloj (edge-triggered flip-flops disparados por flancos).

El registro de la imagen está compuesto por dos biestables tipo D, cuyas salidas conectadas a
puertas AND están gobernadas mediante la entrada o señal "Control Salida" ó "Output Enable", en
inglés.

La entrada "Habilitar", "Enable" provoca el almacenamiento de los bits presentes en la entrada. Es


decir, para que la información de entrada en las líneas A y B se puedan almacenar en los
biestables, debe estar habilitada la entrada  "EN".

El uso de estos registros está muy extendido en aplicaciones en las que se precisa un
almacenamiento temporal de la información. Por ejemplo, conectados a la salida de circuitos
combinacionales aritméticos para recoger el resultado de una operación, almacenar operaciones
intermedias o, proporcionar información estable a un sistema de representación de displays.

También se suelen utilizar para almacenar datos y direcciones en las operaciones de escritura y
lectura de las memorias, por parte de los microprocesadores.

Registro universal de desplazamiento.


El registro universal de desplazamiento, es aquel que reúne en un solo circuito todas las
características de los registros anteriormente estudiados: registro serie-serie, serie-paralelo,
paralelo-serie y paralelo-paralelo.

Un registro es universal cuando es bidireccional, tanto las entradas como las salidas de los datos
pueden ser en paralelo y en serie, y tiene capacidad para inhibir su funcionamiento.

A continuación, mostramos el esquema de un registro universal de desplazamiento:

https://ikastaroak.birt.eus/edu/argitalpen/backupa/20200331/1920k/es/IEA/ELEC/ELEC03/es_IEA
_ELEC03_Contenidos/website_3_registros.html

(99+) (PDF) EJERCICIOS RESUELTOS DE SECUENCIALES | Victor Quintero Huamani - Academia.edu


Funcionamiento del registro universal:

 Funcionamiento como registro serie-serie: para ello la entrada "Control" debe ser igual a
0, además de la puerta lógica "AND-P" debe estar anulada. La señal de reloj "CKS" debe ser
activa y la entrada de datos se debe realizar por la línea "Entrada Serie". La salida serie se
obtendría por "Q3" en siete impulsos de reloj.

 Funcionamiento como registro serie-paralelo: para ello la entrada "Control" debe ser


igual a 0, además de la puerta lógica "AND-P" debe estar anulada. La señal de reloj CKS
debe ser activa y la entrada de datos se debe realizar por la línea "Entrada Serie". La salida
en paralelo se obtendría por "Q0", "Q1", "Q2"y "Q3", en cuatro pulsos de reloj.

 Funcionamiento como registro paralelo-serie: lo primero que debemos hacer es cargar


los datos presentes en las entradas "D0-D4" de los biestables, para ello en la línea de
"Control" debe ser igual a 1 y activamos un pulso de reloj "CKP". Para desplazar los bits a
lo largo de los biestables y en consecuencia la salida de dichos bits por la salida "Q3",
pondremos "Control" = 0 y activaremos el reloj "CKS".

 Funcionamiento como registro paralelo-paralelo: la entrada de datos se realiza por


"D0−D3", en cada pulso de reloj "CKP" se transfiere el dato a la salida. La salida de los
datos se produce en cada pulso de reloj "CKP" por "Q0-Q3".

https://ikastaroak.birt.eus/edu/argitalpen/backupa/20200331/1920k/es/IEA/ELEC/ELEC03/es_IEA
_ELEC03_Contenidos/website_3_registros.html

(99+) (PDF) EJERCICIOS RESUELTOS DE SECUENCIALES | Victor Quintero Huamani - Academia.edu


EJERCICIOS RESUELTOS DE REGISTROS

1. Obtener los estados del registro de 5 bits de la Figura para las señales de entrada de datos y la
señal de reloj indicadas. Suponer que, inicialmente, el registro se ha borrado (su contenido es todo
ceros).

Solución:

Se introduce el primer bit de datos (1) en el registro con el primer impulso de reloj y luego se
desplaza de izquierda a derecha. Del mismo modo se introducen y desplazan los restantes bits.
Después de cinco impulsos de reloj el registro contiene Q4Q3Q2Q1Q0 = 11010. Véase el orden de la
secuencia en las representaciones

https://ikastaroak.birt.eus/edu/argitalpen/backupa/20200331/1920k/es/IEA/ELEC/ELEC03/es_IEA
_ELEC03_Contenidos/website_3_registros.html

(99+) (PDF) EJERCICIOS RESUELTOS DE SECUENCIALES | Victor Quintero Huamani - Academia.edu


https://ikastaroak.birt.eus/edu/argitalpen/backupa/20200331/1920k/es/IEA/ELEC/ELEC03/es_IEA
_ELEC03_Contenidos/website_3_registros.html

(99+) (PDF) EJERCICIOS RESUELTOS DE SECUENCIALES | Victor Quintero Huamani - Academia.edu


2. Mostrar los estados del registro de 4 bits (SRG 4) para las formas de onda de entrada y de reloj
de la Figura. Inicialmente, el contenido del registro es todo 1s.

Solución:

Después de cuatro impulsos de reloj, el registro está en el estado 0110

https://ikastaroak.birt.eus/edu/argitalpen/backupa/20200331/1920k/es/IEA/ELEC/ELEC03/es_IEA
_ELEC03_Contenidos/website_3_registros.html

(99+) (PDF) EJERCICIOS RESUELTOS DE SECUENCIALES | Victor Quintero Huamani - Academia.edu

También podría gustarte