Está en la página 1de 12

ELECTRÓNICA DIGITAL

CÓDIGO: 243004

Pre tarea – Pre saberes.

Presentado a:

Sandra Milena García

Entregado por:

Jairo David Cano Mora


Código: 1026566153

Grupo: 10

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA - UNAD


ESCUELA DE CIENCIAS BÁSICAS TECNOLOGÍA E INGENIERÍA
BOGOTA
FEBRERO DE 2021
INTRODUCCIÓN
En el siguiente informe se da una definición a lo que entendemos como compuerta lógica,
los tipos de compuertas que existen, de que están formadas y como funcionan en los
circuitos digitales.
También una breve introducción a lo que son los lenguajes de descripción de software y lo
útiles que son para facilitar el análisis de los circuitos; también evidenciamos el trabajo en
el software EdaPlayGround, en el cual colocamos la programación en VHDL, con su respectivo
diseño y podemos ver la simulación.
1. Actualización de perfil y presentación:
2. Presaberes

2.1 Objetivo: Contextualizar el curso de Electrónica Digital

 Describa con sus propias palabras qué es una compuerta lógica y que función
cumple en el diseño de los circuitos digitales.

Una compuerta lógica es un dispositivo utilizado en Electrónica Digital el cual trabaja con
estados lógicos tanto en las entradas como en las salidas, posee características especiales las
cuales se basan en leyes aritméticas.
Internamente una compuerta esta formada por transistores, los cuales tienen unos arreglos
especiales, para que la función operacional coincida con la definición.

 Qué es descripción de hardware HDL y nombre algunos lenguajes de descripción de


hardware.
Es un lenguaje de programación especializado que se usa para definir una estructura, diseño
y operaciones de circuitos electrónicos digitales, estos lenguajes de descripción de
hardware hacen posible una descripción formal y posibilitan su análisis automático y su
simulación.
HDL utiliza expresiones basadas en texto, al contrario de lo que ocurre con la mayoría de
los lenguajes de programación, los HDL incluyen también una notación específica para el
tiempo, debido a que este es una característica fundamental en los circuitos electrónicos
reales.
Un programa escrito en HDL hace posible que el diseñador de hardware pueda modelar y
simular un componente electrónico antes de que este sea construido físicamente.
Ejemplos de este tipo de lenguaje son VHDL, Verilog, ABEL, AHDL, SystemC,
SystemVerilog).

 Qué es una FPGA.


FPGA es el acrónimo de Field Programmable Gate Arrays y no es más que una serie de
dispositivos basados en semiconductores a base de matrices de bloques lógicos
configurables o CLB, donde además se conectan a través de lo que en el sector se denomina
como interconexiones programables.
Su principal característica y ventaja es que pueden ser reprogramados para un trabajo
específico o cambiar sus requisitos después de haberse fabricado.
Debido a sus innumerables
aplicaciones, se puede enfocar en
diferentes sectores.

Tomado de: https://hardzone.es/reportajes/que-es/fpga-caracteristicas-utilidad/

Objetivo: identificar las diferentes compuertas lógicas y sus respectivas tablas de verdad.
El estudiante debe completar la siguiente tabla. Observar el ejemplo de la primera fila
correspondiente a la compuerta AND. De esta forma debe desarrollar las restantes 6 filas.
Compuerta Esquemático Tabla de verdad Operación Lógica
Lógica
Entrad Entrad Salid
aA aB aC
0 0 0 𝑪=𝑨*B
AND 0 1 0
1 0 0
1 1 1
OR Entrad Entrad Salid
aA aB aC
0 0 0 𝑪=𝑨+B
0 1 1
1 0 1
1 1 1

NOT Entrada Salida


A C
0 1 C=A
1 0

NAND Entrad Entrad Salid


aA aB aC
0 0 1 ´
C= A∗B
0 1 1
1 0 1
1 1 0

NOR Entrad Entrad Salid


aA aB aC
0 0 1 ´B
C= A+
0 1 0
1 0 0
1 1 0

XOR Entrad Entrad Salid


aA aB aC C= A∗B́+ Á∗B
0 0 0
0 1 1
1 0 1
1 1 0

XNOR Entrad Entrad Salid


aA aB aC
0 0 1 ´
C= A∗B+ A∗B
0 1 0
1 0 0
1 1 1
3. Registro y prueba del software EdaPlayGround: Realizar el registro en el software online
EdaPlayGround. Las instrucciones para hacer registro y una prueba de este software se encuentran
en el Entorno Componente Práctico, Escenario Simulado. La evidencia que deberán subir en el
informe será una impresión de pantalla, donde se muestre el diseño y la simulación de una
compuerta AND (ver video en el entorno de Aprendizaje Práctico). Además de la descripción de
cada una de las líneas.

Prueba del software


Compuerta AND en VHDL en EDA Playground
Entre las líneas 7 y 11 se cargan las
librerías.

Entidad
De la línea 12 a la 16 definimos las
entradas y las salidas

Luego viene la arquitectura de la línea 19


a la 24.

La línea 24 describe el funcionamiento del


diseño.

CONCLUSIONES

Gracias al material de apoyo proporcionado por los tutores, se logra entender que es una
compuerta, como funciona y la relación que tiene con la electrónica digital, trabajamos con
el software requerido y simulamos una compuerta AND, con el lenguaje de descripción de
software VHDL.
BIBLIOGRAFIA

 Muñoz, J. (2012). Introducción a los Sistemas Digitales: Un enfoque usando


Lenguajes de Descripción de Hardware. (Capítulos 1, pp. 19-66). Madrid.
Recuperado de https://openlibra.com/es/book/introduccion-a-los-sistemas-digitales
 https://www.logicbus.com.mx/compuertas-logicas.php

También podría gustarte