Está en la página 1de 11

“Introducción a VHDL y sentencias concurrentes”

OBJETIVOS:
• Instalar el programa Quartus Prime 20.1
• Crear la entidad y la arquitectura de un sumador usando sentencias concurrentes en lenguaje
VHDL.
• Analizar los resultados obtenidos en el diagrama Waveform al colocar valores en las entradas
del bloque sumador.
1. Creación de cuenta y descarga del software
Creación de cuenta
• Para poder descargar el software es necesario crear
una cuenta en:
https://www.intel.com/content/www/us/en/forms/basic-intel-registration.html. Luego de ser
creada, como se indica en la Figura 1, la cuenta deberá ser verificada mediante un email que
recibirá en la dirección de correo que utilizó para registrarse.

Figura 1. Verificación de cuenta creada.

• Ingrese a la dirección https://fpgasoftware.intel.com/20.1/?edition=pro&platform=windows e


inicie sesión dando clic en la opción Sign in que se encuentra en la parte superior derecha de
la página, tal como se muestra en la Figura 2.

Figura 2. Inicio de sesión con la cuenta creada.


Descarga del software Quartus Prime 20.1
• En las opciones de descarga se debe seleccionar la edición Lite y la versión 20.1 del software,
además del sistema operativo en el que será instalado.
• En la parte inferior de la página web, en la pestaña Individual Files, se mostrarán varias
opciones de descargas de las cuales únicamente será necesario descargar los archivos Quartus
Prime, ModelSim-IntelFPGA Edition y Cyclone V device support.
• Por defecto, los archivos serán guardados en la carpeta Descargas, en el disco local C. Para
comenzar la instalación del software, se debe ejecutar el archivo QuartusLiteSetup-
20.1.0.670-windows.exe. Asegurarse que los archivos descargados se encuentren en la misma
carpeta antes de comenzar la instalación.
• Proceder con la instalación del software ModelSim-IntelFPGA Edition.exe, debe seleccionar
posteriormente ModelSim – Intel FPGA Starter Edition y continuar con la instalación.
• Luego de que termine la instalación proceda a ejecutar el software Quartus Prime 20.1 y
diríjase a Tools>Options, seleccione EDA Tool Options y en el recuadro ModelSim-Altera
copie y pegue el siguiente directorio C:\intelFPGA\20.1\modelsim_ase\win32aloem, dar clic en
OK
• Dirigirse a Assignments ˃ Settings… ˃ Simulation y realice las modificaciones que se muestran
a continuación.
2. Instalación del software.

• Luego de ejecutar el archivo, se abrirá el asistente de instalación, dar clic en la opción Next
para continuar con la instalación.
• En la siguiente ventana se deberán aceptar los términos y condiciones para el uso del
software. Para continuar con la instalación, se debe elegir la opción I accept the agreement y
dar clic en Next.
• Por defecto, el software será instalado en la carpeta C:\intelFPGA_lite\20.1. En caso de que
se quiera cambiar la carpeta de instalación, se debe de dar clic en el icono y elegir el
directorio de instalación deseado. Dar clic en la opción Next para continuar con la instalación.
• Es importante que el dispositivo Cyclone V sea instalado ya que nos permitirá crear proyectos
en Quartus Prime. Dar clic en la opción Next para continuar con la instalación.
• Luego se mostrará una ventana en la que se especifica la cantidad de espacio que se requiere
en el disco para completar la instalación del software. Si cumple con los requisitos, dar clic en
la opción Next para continuar con la instalación.
• El software comenzará a instalarse y se mostrará una barra de progreso. Luego de que el
asistente termine con el proceso de instalación, dar clic en Next para continuar.
• Finalmente, el asistente dará la opción de crear un acceso directo del software y/o ejecutar el
software, elegir ambas opciones y dar clic en Finish para terminar la instalación.

3. Creación de un proyecto en Quartus Prime.

• Para iniciar Quartus Prime ejecute el acceso directo creado en el escritorio con el ícono .
Al ejecutar Quartus Prime por primera vez, debe seleccionar la opción Run the Quartus Prime
software y dar clic en OK para continuar.
• Cree un nuevo proyecto dando clic en la opción File ˃ New Project Wizard como se muestra
en la Figura 3.

Figura 3. Creación de un nuevo proyecto

• Se abrirá la ventana del asistente para la creación de nuevo proyecto como se muestra en la
Figura 4. Dar clic en Next para continuar.
Figura 4. Asistente para la creación de un nuevo proyecto

• En la ventana mostrada en la Figura 5, se deberá de elegir el directorio y nombre del proyecto.


Proceda a elegir el directorio en el cual se guardará y coloque el nombre de proyecto como
PRACTICA1. Dar clic en Next para continuar.

Figura 5. Directorio y nombre del proyecto creado.

• Elegir el tipo de proyecto como Empty Proyect como se muestra en la Figura 6 y dar clic en
Next para continuar.
Figura 6. Elección de tipo de Proyecto

• La ventana Add Files mostrada en la Figura 7, permite incluir archivos existentes al proyecto
que está siendo creado. En este caso no se agregará ningún archivo, por lo tanto, de clic en
Next para continuar.

Figura 7. Agregar archivos existentes al proyecto.

• Proceda a seleccionar la familia Cyclone V E como se muestra en la Figura 8 y de clic en Next


para continuar.
Figura 8. Elección de la familia y dispositivo que se usará en el proyecto.

• Realice las configuraciones que se muestran en la Figura 9 y de clic en Finish para terminar la
creación del proyecto.

Figura 9. Configuraciones para la simulación del proyecto.

• Agregue un nuevo archivo tipo VHDL al proyecto dando clic en File ˃ New… y seleccione la
opción VHDL File como se muestra en la Figura 10. De clic en OK para continuar.
Figura 10. Creación de nuevo archivo tipo VHDL

• Proceda a guardar el archivo VHDL creado dando clic en File ˃ Save As… como se muestra en
la Figura 11. Guarde el archivo como sumador.vhd en la misma carpeta en la que se guardó el
proyecto.

Figura 11. Guardado del archivo tipo VHDL con nombre sumador.vhd

• Realice la codificación VHDL del bloque sumador de 4 bits, mostrado en la Figura 12. Considere
que el nombre de la entidad y el nombre del archivo VHDL deben de tener el mismo nombre.

Figura 12. Código del bloque sumador de 4 bits


• En la ventana Project Navigator seleccione la opción Files, como se muestra en la Figura 13,
para mostrar los archivos del proyecto.

Figura 13. Archivos del proyecto en la ventana Project Navigator.

• Establezca sumador.vhd como más alta jerarquía dando clic derecho sobre el archivo y
seleccionando la opción Set as Top-Level Entity, como se muestra en la Figura 14. Proceda a
compilar el código haciendo clic en el icono .

Figura 14. Estableciendo sumador.vhd como más alta jerarquía

• Cree un nuevo archivo de simulación dando clic en File ˃ New… ˃ University Program VWF,
como se muestra en la Figura 15.
Figura 15. Creación de archivo de simulación.

• Agregue las señales de entrada y salida al archivo de simulación dando doble clic en el interior
del área limitada por el cuadro rojo que se muestra en la Figura 16. Luego de que se abra la
ventana Insert Node or Bus, seleccione la opción Node Finder.

Figura 16. Agregar señales de entrada y salida al archivo de simulación

• De clic en la opción List y mueva las señales A, B y suma a la ventana Selected Nodes,
seleccionándolas y dando clic en el icono . Clic en OK para agregar las señales a la
simulación.
Figura 17. Selección de señales de entrada y salida para la simulación

• Agregue los valores mostrados en la Figura 18 a las señales de entrada A y B. Los valores de
entrada tienen un periodo de 100 ns.

Figura 18. Valores de entrada para las señales A y B.

• Proceda a guardar el archivo de simulación dando clic en File ˃ Save As… con el nombre de
simulacion1.vwf. Simule el archivo dando clic en Simulation ˃ Run Functional Simulation,
como se muestra en la Figura 19.

Figura 19. Simulación del bloque sumador de 4 bits

• En caso de presentar errores en la simulación, hacer clic en Simulation ˃ Simulation Settings


˃ Restore Defaults ˃ Save, como se muestra en la Figura 20 y simule el archivo nuevamente
dando clic en Simulation ˃ Run Functional Simulation.
Figura 20. Restaurar valores por defecto

• La Figura 21 muestra el resultado de la simulación del bloque sumador de 4 bits. Las entradas y
la salida del bloque se encuentran en binario.

Figura 21. Resultado de la simulación del bloque sumador de 4 bits en binario.

• Para cambiar el sistema de numeración en el que se presentan los valores de entrada y salida de
la simulación, se debe dar clic derecho sobre la señal y seleccionar la opción Radix ˃ Unsigned
Decimal como se muestra en la Figura 22.

Figura 22. Cambio de sistema de numeración de las señales

• La Figura 23 muestra el resultado de la simulación del bloque sumador de 4 bits. Las entradas y
la salida del bloque se encuentran en decimal.

Figura 23. Resultado de la simulación del bloque sumador de 4 bits en binario

También podría gustarte