Está en la página 1de 3

Instituto Superior Tecnológico Sucre

Carrera de Tecnología Superior en Electrónica

Electrónica digital aplicada

PRÁCTICA N°4

1. TEMA

EJERCICIO DE APLICACIÓN DE CIRCUITOS COMBINACIONALES

2. OBJETIVOS

2.1. Realizar la aplicación de un circuito lógico combinacional por medio de FPGA

3. TRABAJO PREPARATORIO

3.1. Realice la consulta de la programación de multiplexor de 4 a1

3.2. Como se ingresa datos en forma de vector para 4 bits, escribir el código

3.3. Consultar sobre la sintaxis de las estructuras de control: if, case, when, with
para la arquitectura flujo de datos y presentar un ejemplo de uso.

4. EQUIPO Y MATERIALES

• Computadora
• Software Xilinx

5. PROCEDIMIENTO

Diseñar y describir en VHDL de forma estructurada un circuito que


responda a las siguientes especificaciones:

REQUERIMIENTOS:

Diseñar un circuito lógico que permita seleccionar 2 magnitudes: Temperatura (A) y Hora (B)
de DOS dígitos (BCD) cada una para mostrarlo en dos visualizadorde 7 segmentos (F)
mediante una entrada de selección (S), de forma que si S=0 entonces F corresponde con A
y si S=1 entonces F corresponde con B.
Solución

Es una extensión del problema anterior, simplemente hay que utilizar dos multiplexores dobles
de 4 bits (74HC157), pero con el control (S) común a ambos multiplexores. En este caso no
hay entrada de bloqueo por lo que no se ha incorporado un circuito decodificador de BCD a 7
segmentos.
6. INFORME

Se debe realizar la descripción del circuito, la programación en XILINX y la simulación en el


software TINA

6.1. Conclusiones.

6.2. Recomendaciones.

También podría gustarte