Está en la página 1de 5

1. Ejecute el programa Quartus Prime 19.

1 que se encuentra en el escritorio

representado por el ícono .


2. Proceda a crear un nuevo proyecto seleccionando la opción File→New
Project Wizard como se muestra en la Figura 1, y coloque como nombre del
proyecto PRUEBA

Figura 1. Creación de un nuevo proyecto.

3. Cuando esté creando el proyecto, recuerde habilitar la opción del Simulador


Simulation: ModelSim-Altera en la penúltima sección EDA Tool Settings
de la ventana New Project Wizard, como se visualiza en la Figura 2.

Figura 2. Selección de la herramienta de simulación ModelSim-Altera.

4. Una vez creado el proyecto, integre al mismo todos los bloques en formato
.VHD que se encuentren en la carpeta compartida de la práctica como se
visualiza en la Figura 3.
Figura 3. Adhesión de archivos a Quartus Prime.

5. En el menú Assignments, se debe asegurar que se haya escogido el CPLD


de la familia MAX V 5M570ZF256C5, como se indica en la Figura 4.

Figura 4. Selección del modelo del chip de la familia MAX V.

6. Utilizando Quartus Prime 19.1, construya el paquete


archivo_componentes.vhd que va a contener las entidades de todos los
bloques VHDL a utilizar. Para esto deberá construir un archivo en lenguaje
VHDL con la codificación que se encuentra en la Figura 5.
Figura 5. Paquete con las entidades del sistema digital.

7. Una vez elaborado el paquete con las entidades de los bloques VHDL a
utilizar en el sistema digital, proceda a construir el archivo principal
empaquetado.vhd que va a empaquetar al resto de componentes. Para esto
deberá construir un archivo VHDL con la codificación que se encuentra en la
Figura 6.

Figura 6. Empaquetado del sistema digital.

8. Establezca como más alta jerarquía al bloque empaquetado.vhd dando clic


derecho sobre el mismo y seleccione la opción Set as Top-Level Entity tal
como se observa en la Figura 7.

Figura 7. Establecimiento del bloque empaquetado como más alta jerarquía.


9. Realice la compilación del archivo empaquetado.vhd dando clic en Start
Analysis & Synthesis, representado por el ícono , y luego proceda a
crear un archivo de simulación dando clic en File→New→University
Program VWF, como se visualiza en la Figura 8.

Figura 8. Creación de un archivo de simulación.

10. Proceda a colocar, en orden de prioridad, las señales en el diagrama de


tiempo como se observa en la Figura 9. Para añadir las señales de los
diferentes estados del controlador, filtre por Design Entry (all names).

Figura 9. Señales del bloque empaquetado.vhd en el diagrama de tiempo.

11. Seleccione las señales del controlador y.Ta, y.Tb, y.Tc, y.Td, y.Te, y.Tf,
y.Tg, y.Th y establezca dichas señales como valor desconocido (Forcing
Unknown) dando clic en el ícono , como se visualiza en la Figura 10.
Figura 10. Establecimiento de valores desconocidos a las señales de estados.

12. Proceda a guardar el archivo de simulación con el nombre SIMULACION,


dando clic en File→Save as.
13. Establezca valores a las señales tal como se visualiza en la Figura 11 del
archivo SIMULACION.vwf en el diagrama de tiempo. Debe de tomar en
cuenta que el flanco positivo de reloj debe coincidir con la segmentación del
diagrama de tiempo, en el caso que no coincida simplemente invierta la señal
de reloj dando clic en INV, representado por el ícono

Figura 11. Señales con valores en el Diagrama de tiempo del archivo SIMULACION.vwf.

También podría gustarte